eduies采集的0是奇数还是偶数场和偶数场是指什么?

VHDL在高速图像采集系统中的应用设计_EDAPLD论文_梦幻网络
您的位置:&>>&&>>&&>>&&>>&
VHDL在高速图像采集系统中的应用设计
摘要:介绍高速图像采集系统的硬件结构及工作原理,讲述FPGA在图像采集与数据存储部分的VHDL模块设计,给出采集同步模块的VHDL源程序。 &&& 关键词:图像采集 FPGA VHDL PCI现代化生产和研究对图像采集系统的要求日益提高。传统的图像采集卡速度慢、处理功能简单,不能很好地满足特殊要求,因此,我们构建了高速图像采集系统。它主要包括图像采集模块、图像低级处理模块以及总线接口模块等。这些模块是在FPGA中利用VHDL编程实现的。高速图像采集系统主要用于视觉检测。视觉检测中图像处理的特点是:底层图像处理数据量大,算法简单;高层图像处理算法复杂,数据量大,算法简单;高层图像处理算法复杂,数据量小。对于图像底层处理,我们在高速图像采集系统中用FPGA实现,采用VHDL编写图像处理算法;对于图像高层处理,由计算机软件实现。由于VHDL设计灵活、编程方便,易于在FPGA中实现并行运算和流水线结构;所以,高速图像采集系统的速度快、适应性好。1 系统结构及工作原理1.1 系统结构设计高速图像采集系统结构模型如图1所示。它的输入为标准的模拟视频信号,用可编程视频输入处理器SAA7111进行视频信号处理。SAA7111内部集成了强大的图像色度、亮度处理功能以及多种输出模式;有32个工作寄存器,在系统复位时,必须通过FPGA1的IC2总线模块对其进行初始化。SAA7111输出的图像数据通过FPGA芯片进行采集与处理,采用Xilinx公司的XC2S150芯片。XC2S150是Spartan II系列产品。它基于Virtex系列的结构,支持所有相关的特性,具有很高的性能价格比;采集与处理的图像数据在传送到计算机之前,存储在高速SRAM CY7C1049V33中,SRAM的容量为512K×8bit。与计算机通常采用PCI总线,由S5933来实现。S5933是一种功能强大的PCI控制器,符合PCI2.1规范。1.2 工作原理系统复位完成FPGA程序加载后,先由FPGA1的I2C总线模块对SAA7111初始化,初始化结束后等待采集图像的命令。初始化成功后,SAA7111实时处理模拟视频信号,输出亮度和色度信号,同时输出点时钟信号,行、场同步信号,行、场参考信号,奇偶场标志信号等。本系统使用灰度图像,没有使用色度信号,所以数据线为8位。系统采集图像的命令由计算机发出。采集命令通过PCI总线传输到FPGA1,启动FPGA1的采集同步模块。采集同步模块发出采集标志信号,采集一帧图像,通过写数据模块产生写地址和写信号将数据存储到SRAM1中。采集结束时,采集标志信号撤消,采集同步模块复位,等待下一次采集命令。采集标志信号撤消时,FPGA1开始读取SRAM1中的图像信息,这是通过读数据模块生产读地址和读信号来实现的。FPGA1将1帧图像数据进行噪声去除后,存储到SRAM2中,并发信号给FPGA2。FPGA2通过FPGA1读取SRAM2中数据,经过边缘检测处理后存储到SRAM3中。FPGA2处理完1帧图像数据后,将SRAM3中的图像信息读出传送给S5933,然后通过PCI总线传送到计算机中。在图像采集过程中,我们使用的是512×512的图像,即一帧图像采集512行,奇数场和偶数场各采集256行,每一行采集512个像素。因此,需要通过行延时模块进行行选择,滤掉无效行,通过像素延时模块进行像素选择,以选择需要的像素。2 图像采集与数据存储部分的VHDL设计系统中FPGA的设计是用VHDL编程实现的。VHDL是一种应用非常广泛的硬件描述语言,它的语言覆盖面广,描述能力强;可以描述最抽象的系统级,也可以描述最精确的逻辑级、门级。本系统是采用结构化VHDL进行设计的,整个图像采集部分是一个VHDL语言文件,包括几个BLOCK语句。2片FPGA芯片个有不同的程序,其中FPGA1既包括图像采集部分,又包括图像处理与数据存储部分;FPGA2为图像处理与数据存储部分以及PCI接口控制部分。2片FPGA时程序加载采用串行主/从模式。FPGA1采用串行主模式,FPGA2采用串行从模式,由FPGA1从SPROM中读取配置数据,完成自身配置,并完成对FPGA2的配置。图像采集与数据传送部分的VHDL模块主要包括Set_sample.vhd、Wr.vhd、Rd.vhd、Delay.vhd、Bus_assign.vhd等,各模块之间通过信号相互联系。下面分别介绍各模块实现的功能。(限于篇幅,仅给出采集同步模块的程序。)(1)Set_sample.vhd采集同步模块它是图像采集部分的一个块语句,输入输出信号为:pcicon0-IN,启动采集图像信号;vref-IN,场参考信号;rts0-IN,奇偶场标志信号;sig_frame-OUT,采集同步输出信号,高电平有效,用于图像采集和总线管理模块;sig_field-OUT,采集同步场参考信号,采集1帧图像的场参考信号。源程序如下:set_sample:blocksignal flagct:std_logic_vector(2 downto 0);beginprocess(pcicon0,vref)beginif(pcicon0='O')thenflagct&="01";elsif(vref 'event and vref='J')thenif(flagct="001"and rts0='1')thenflagct&="010";elsif(flagct="010")thenflagct&="011";elsif(flagct="011")thenflagct&="100";process(flagct)beginif(flagct="010" or flagct="011")thensig_frame&='1';elsesig_frame&='0';sig_field&=sig_end block;当pcicon0引脚再现大于等于场周期(20ms)的低电平信号时,可以确保该模块处于触发状态,在下一个奇数场出现时,(rts0=1),根据SAA7111的场参考信号产生具有两场时宽的采集同步信号(sig_frame)。该信号有效时为图像采集阶段,对SRAM1写入数据;该信号撤消时采集同步模块自动复位,等待下一次采集命令,同时FPGA1开始读取SRAM1中数据进行处理。采集同步信号有效的同时输出采集同步场参考信号,用于采集图像数据。(2)Delay.vhd延时模块包括行延时和像素延时。当采集信号有效时,在每一场产生行延时,滤掉无效行,每一场采集256行;当采集信号有效且行延时结束时,在每一行产生像素延时,去掉不需要的像素,只采其中的512个像素。(3)Wr.vhd写数据模块在图像采集阶段,收到行延时结束信号和像素延时结束信号时,按照SAA7111的参考信号的输出时序,产生相应的写地址,并根据SRAM的写时序产生写信号,此时与SRAM接口的FPGA的I/O口为输出状态。在图像处理阶段向SRAM写数据时,写地址的产生不考虑行延时和像素延时。(4)Rd.vhd读数据模块在读SRAM时,依据SRAM的读时序,产生读地址和读信号。此时与SRAM接口的FPGA的I/O口为输入状态。(5)Bus_assign.vhd总线管理模块总线管理模块主要负责FPGA与SRAM的地址总线切换、数据总线切换,以及在系统中不同芯片之间建立数据通路等。FPGA中包括写数据地址模块和读数据地址模块,与SRAM地址总线接口时必须进行总线切换:写数据时,写地址线接通SRAM的地址线;读数据时,读地址线接通SRAM的地址线。FPGA与SRAM数据线的接口为双向口,在写数据时是输出口,读数据时是输入口,需要设置三态控制。在系统中其它芯片之间也有这种情况。进行VHDL设计时,最好各模块单独进行并及时仿真验证,以便尽早发现问题。系统中其它模块在此不再叙述。3 结论高速图像采集系统的硬件实现是用VHDL设计的。通过建立VHDl行为模型和进行VHDL行为仿真,可以及早发现设计中潜在的问题,缩短了设计周期,提高了设计的可靠性和效率。实践表明:VHDL在硬件设计上是非常有效的,它是当代电子设计工程师进行硬件设计时必须掌握的工具。
相关信息:
摘要:采用VHDL语言设计,用CPLD控制模/数转换电路,完成多路模拟输入的高速同步数/模转,具有容错和自检能力。CPLD与处理之间采用并行接口,具有很好的移植性、可靠性。关键词:VHDL CPLD 高速同步数/模转换 容错和自检 并行...()
摘要:时间统一系统是靶场试验任务顺利完成的关键。本文介绍一种利用CPLD器件实现的可编程的性能良好的IRIG-B码源。通过高度集成,将用于产生B码的各种门电路集成在一个芯片中,构成一个应用系统,达到了最佳性价比。关键词...()
摘要:介绍了内嵌ARM核的FPGA芯片EPXA10的主功能特点、内部结构及工作方式,通过其在图像驱动和处理方面的应用,体现了EPX10逻辑控制实现简单、对大量数据做简单处理速度快以及软件编程灵活的特点。关键词:ARM FPGA EPXA10...()
摘要:采用VHDL语言和图形输入设计方法,给出了用CPLD在远程多路数据采集系统中实现地址译码、串口扩展、模块测试、模数转换以及高位数据处理等功能的具体方法,同时简要介绍了远程多路数据采集系统的工作原理及软、硬件框...()
摘要:简要介绍了Device Engineering公司的DEI1016芯片的功能,详细说明了利用DEI1016芯片实现ARINC429协议数据通讯系统的设计方法,给出了比较具体的电路设计及软件解决方法。关键词:ARINC429;差分输出;FIFO;可编程器...()
关键字含有“应用”的论文:
关键字含有“设计”的论文:
关键字含有“系统”的论文:
关键字含有“采集”的论文:
关键字含有“高速”的论文:
关键字含有“图像”的论文:
关键字含有“信号”的论文:
关键字含有“模块”的论文:图像采集卡基础知识
所属类别:
图像采集卡
该资讯的关键词为:
页面版权所有 & 2014 中国大恒(集团)有限公司北京图像视觉技术分公司 
地址:北京市海淀区苏州街3号大恒科技大厦北座12层(100080)电话:86-10- 传真:86-10-奇数个和偶数个是什么意思?各位大哥帮帮忙!_百度知道
奇数个和偶数个是什么意思?各位大哥帮帮忙!
/zhidao/pic/item//zhidao/wh%3D600%2C800/sign=4c43c4d70edc729d0475ba/f3d3572c11dfa9ec0cd0c2c860d0f7.jpg" target="_blank" title="点击查看大图" class="ikqb_img_alink"><img class="ikqb_img" src="http://g://g.<a href="http.jpg" esrc="/zhidao/wh%3D450%2C600/sign=27870cdb5b82b2b7a7ca31c/f3d3572c11dfa9ec0cd0c2c860d0f7&nbsp.baidu.hiphotos://g.baidu
提问者采纳
奇数个:个数为奇数的数。偶数个:个数为偶数的数
提问者评价
你的回答完美的解决了我的问题,谢谢!
浅夏丿初晴
来自:作业帮
其他类似问题
为您推荐:
其他2条回答
9…偶数就是
2.8奇数就是
1.3.5.6.7.4
奇数个是~
骚瑞,少看了
是一样的啊。
1.3.5.7.9.
2.4.6.8.10
奇数个是~~
等待您来回答
下载知道APP
随时随地咨询
出门在外也不愁打印设置里面,奇数页和偶数页还有范围中所有页面是什么意思?_百度知道
打印设置里面,奇数页和偶数页还有范围中所有页面是什么意思?
提问者采纳
4、7是告诉你要选择打印的范围、6、8,打印的就是2;如果你选择“偶数页”,打印的是1、9页、3、5;如果你选择了“奇数页”,就是打印文档的全部内容,如果选你选择了“范围中所有页面”,比如一个文档有10页、10页
其他类似问题
为您推荐:
其他3条回答
6,3,4,7页…………偶数页就是打印2,5奇数页就是光打印1
插入页码后,会有奇数页和偶数页的区分,打印的时候选择“页面汇总所有页面”边上的向下的箭头,选择奇数还是偶数,
奇数页和偶数页主要用于双面打印的
等待您来回答
下载知道APP
随时随地咨询
出门在外也不愁}

我要回帖

更多关于 0是奇数还是偶数 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信