quartus最新版本 高版本编译问题

请教quartus 2编译出错问题_百度知道
请教quartus 2编译出错问题
大四毕设,需用到quartus2.0软件, 但在编译时出错,在fitter这一关会出现you license don't support the device of ...(芯片名)不知如何解决,看到你有遇到过同一问题,恳请指点,感谢感谢...
提问者采纳
这是因为你的license号不是正确的,要么就到altera去申请正确的license因为安装这种收费软件,一般都是通过申请license来收费的,你既然要做毕设,应该也要买个FPGA模版吧,到时模板里面有张光盘里面就有。
提问者评价
换了一个版本的QUARTUS,在网上下了license从新破解,现在可以用了。之前版本应该是破解不正确。谢谢了!
来自:求助得到的回答
其他类似问题
为您推荐:
quartus的相关知识
其他3条回答
2.0 ? 如果你只需要用Quartus II,建议你用7.2;如果你还要用到IP核,建议你用8.1以上版本;到ALTERA官网上下载安装包;记得破解哦!
你的license不支持你选择的芯片,看你选择选择的芯片是不是你开发板上的芯片!
如果工程比较大建议选择8.0或9.0的,网上都有这些破解软件,找一下就有了!
简单的说,需要破解.你可能选了个比较高端的芯片,用了免费版的证书.stratix 4等高端芯片web版不支持,需要完整付费版的证书.DE2用的cyclone2芯片等低端芯片不应该有这个问题
等待您来回答
下载知道APP
随时随地咨询
出门在外也不愁quartus II编译问题_百度知道
quartus II编译问题
我在用EPM3064ALC44-10做电子时钟编译后总是出现错误,如下,怎么解决?design requires 78 macrocells,but the selected device can contain only 64 macrocells.
提问者采纳
我想知道你这问题最后是怎么解决的
我也出现同样错误了Error (23035): Tcl error: bad option &d:/program&: must be -encoding
while executing&source d:/program files/altera/quartus ii/quartus/common/tcl/internal/eda_bsd/bsdl_main.tcl&Error (199058): Fail to evaluate Tcl command source d:/program files/altera/quartus ii/quartus/common/tcl/internal/eda_bsd/bsdl_main.tcl
提问者评价
其他类似问题
为您推荐:
quartus的相关知识
等待您来回答
下载知道APP
随时随地咨询
出门在外也不愁热门版块:
助理工程师
破解quartus II 11.0编译出线如下错误。太诡异了
Error: Current license file does not support the EP2C5T144C8 device
百度说是下载的破解包与软件版本不同。那么请问1:怎样查看我安装的是11.0的哪个版本 2:我已经从不同网址下过过了4种破解包 生成的license bat还是不能解决这个问题。求大神帮助
我也是一样的问题,烦死了
我也这样,怎么解决啊
我表示也是遇到了这个问题。。。
亲,解决了赛,求帮助啊
没遇到过……{:10:}
助理工程师
亲,解决了赛,求帮助啊
悬而未决& && && && && &&&换用低版本6了
等待验证会员
我也遇到过这个问题,我是破解64位的时候出现的,一直破都破不了,后来照着那破解方法破解了32位的,现在用的32位的
我也遇到过这个问题,我是破解64位的时候出现的,一直破都破不了,后来照着那破解方法破解了32位的,现在用 ...
我也遇到这个问题,以前是32位系统,现在是64位系统。装了一个Quartus 10.0的,破解好了,还是遇到下面的问题?
Error: Current license file does not support the EP2C5T144C8 device
冒失无解了,或许在装一个32为win7试试?
站长推荐 /2
Powered by后使用快捷导航没有帐号?
查看: 4605|回复: 7
quartus 中编译的一个小问题,请各位达人帮看下~~~
在线时间490 小时
威望9089 分
芯币12350 枚
TA的帖子TA的资源
五彩晶圆(高级), 积分 9089, 距离下一级还需 911 积分
module Ser_Par_Conv_32(Data_out,write,Data_in,En,clk,rst);
output [31:0] Data_
output& && &&&
input& && && &Data_
input& && && &En,clk,
parameter& &&&S_idle=0;
parameter& &&&S_1=1;
reg& && && &&&state,next_
reg&&[4:0]& &
reg& && && &&&Data_
reg& && && &&&shift,
always @(posedge clk or posedge rst)
&&if(rst) begin state&=S_cnt&=0;end
&&else state&=next_
always @(state or En or write) begin
&&shift=0;
&&next_state=
&&case(state)
& & S_idle:&&if(En) begin next_state=S_1;shift=1;end
& & S_1:& &&&if(!write) begin shift=1;incr=1;end
& && && && & else if(En) begin shift=1;incr=1;end
& && && && & else begin next_state=S_incr=1;end
always @(posedge clk or posedge rst)
&&if(rst) begin cnt&=0;end
&&else if(shift)Data_out&={Data_in,Data_out[31:1]};
&&assign write=(cnt==31);
endmodule&&
Error (10053): Verilog HDL error at Ser_Par_Couv_32.v(28): can't index object &Data_out& with zero packed or unpacked array dimensions
Error: Quartus II Analysis & Synthesis was unsuccessful. 1 error, 1 warning
& && &&&Error: Peak virtual memory: 169 megabytes
& && &&&Error: Processing ended: Sat May 08 00:20:12 2010
& && &&&Error: Elapsed time: 00:00:03
& && &&&Error: Total CPU time (on all processors): 00:00:01
Error: Quartus II Full Compilation was unsuccessful. 3 errors, 1 warning
一个为理想不懈前进的人,一个永不言败人!
欢迎光临网上店铺!
在线时间0 小时
TA的帖子TA的资源
我也遇到了
can't index object "& " with zero packed or unpacked array dimensions
在线时间65 小时
威望160 分
芯币105 枚
TA的帖子TA的资源
next_state=
是多余的吧
把reg Data_
改为reg [31:0] Data_out;看看
在线时间194 小时
芯币608 枚
TA的帖子TA的资源
回复 板凳 stepan 的帖子
端口定义时,已经说明Data_out是32位的了。
好像不需要在定义为32位的寄存器了。
在线时间194 小时
芯币608 枚
TA的帖子TA的资源
我弄错了,是需要将reg Data_ 改为reg [31:0] Data_out。
&LZ的代码还有个小错误,您在两个always块中对cnt赋值了。
[ 本帖最后由 swfc_qinmm 于
20:04 编辑 ]
在线时间42 小时
TA的帖子TA的资源
同意楼上的
在线时间42 小时
TA的帖子TA的资源
另外,楼主的状态机写法.....看着很费劲呐
在线时间490 小时
威望9089 分
芯币12350 枚
TA的帖子TA的资源
感谢各位热心指点!
一个为理想不懈前进的人,一个永不言败人!
欢迎光临网上店铺!
Powered by
逛了这许久,何不进去瞧瞧?quartus编译错误_百度知道
提问者采纳
编译错误是啥子错误你又不说,只画个可能看不出来哦,有时候编译器提示的错误行并不一定错误源头就真的在那一行 对verilog不熟悉,不过你那个分号是不是有问题哦?
其他类似问题
为您推荐:
quartus的相关知识
其他2条回答
你这个语法是没有错误的。你看其他有什么错误
感觉是模块的名称有点儿太长了吧,长于32个字符以上的有部分编译器可能会出错
等待您来回答
下载知道APP
随时随地咨询
出门在外也不愁}

我要回帖

更多关于 quartus最新版本 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信