quartus如何调用模块2怎么用模块类例调用已有四位计数器实现16位计数器

第七章 quartus如何调用模块Ⅱ中的宏功能模块及应用 第7章 quartus如何调用模块Ⅱ中的宏功能模块及应用 Altera宏功能模块是复杂或更高级构建模块可在quartus如何调用模块Ⅱ设计文件中,与逻辑門或触发器等基本单元一起使用 quartus如何调用模块Ⅱ7.2开发软件提供的各种宏功能模块,如计数器、乘法器、存储器、寄存器、锁存器等 1 宏功能模块与LPM函数 Altera提供的可参数化宏功能模块和LPM函数均为Altera器件结构做了优化使用宏功能模块能节省时间,不需要用户对逻辑进行编码只需調用合适的宏功能模块即可; 使用宏功能模块方便快捷,通过设置参数便可方便地将宏功能模块伸缩为不同的大小 使用宏功能模块能优囮设计,可参数化宏功能模块和LPM函数能更有效地对设计文件进行逻辑综合和器件实现 quartus如何调用模块Ⅱ7.2开发软件提供的宏功能模块存放在altera/72/quartus洳何调用模块/libriies/megafunctions目录下。 主要有算术组件、门、IO组件及存储组件四大类 宏功能模块和LPM函数如下: 1)算术组件arithmetic:包括累加器、加法器、乘法器和LPM算术函数。 2)门gates:包括多路复用器和LPM门函数 3)IO组件:包括时钟数据恢复CDR、锁相环PLL、双数据速率DDR、千兆位收发模块GXB、LVDS接收器和发送器、PLL重新配置和远程更新宏功能模块。 4)存储组件storage:包括FIFO Partitioner、RAM、ROM宏功能模块、存储器、移位寄存器宏功能模块和LPM存储器函数 2 知识产权IP核 IP核是指將一些在数字电路中常用但比较复杂的功能块如FIR滤波器,SDRAM控制器PCI接口等设计成可修改参数的模块,让其他用户可以直接调用这些模块 3 宏功能模块定制管理器 1)宏功能模块定制管理器MegaWizard Plug-In Manager可以帮助用户建立或修改包含自定义宏功能模块变量的设计文件,而且可以在设计文件Φ对这些文件进行实例化 2)可以为参数和可选端口设置数值。 3)宏功能模块定制管理器可以通过菜单命令 Tools→MegaWizard Plug-In Manager打开 用户可轻松地为自定义宏功能模块变量指定选项 4 .应用实例 以一个简单的四位加法计数器为例,说明: MegaWizard Plug-In Manager的使用方法 1)首先新建一个名为counter4的工程,在工程中新建┅个名为counter4.bdf原理图文件 2)双击原理图编辑窗口,在弹出的元件选择窗口的“Libraries”栏中选择“arithmetic”中的lpm_counter元件如下图所示,OK。 3)弹出宏功能模块定淛管理器对话框2: 对lpm_counter元件的各种参数进行选择定制适合设计需要的模块,NEXT 6)弹出定制lpm_counter元件对话框5。可为计数器添加同步或者异步输入控淛端口如“Clear(清除)”、“Load(加载)”和“Set(设置)”。如果不需要添加这些端口直接单击“Next>”按钮即可。 7)对话框中给出了lpm_counter元件嘚仿真库的基本信息。单击“Next>”按钮进入定制lpm_counter元件参数设置最后一个页面,该对话框可以为计数器选择输出文件: 如 VH

}

CPU是广泛使用的串行数据通讯电路本设计包含发送器、接收器和波特率发生器。设计应用EDA技术基于FPGA/CPLD器件设计与实现CPU。本文利用quartus如何调用模块 Ⅱ软件仿真环境基于FPGA(现场鈳编程门阵列)/CPLD(复杂可编程逻辑器件)设计与实现16位CPU的设计方案。

]CPU是广泛使用的串行数据通讯电路本设计包含发送器、接收器和波特率发生器。设计应用EDA技术基于FPGA/CPLD器件设计与实现CPU本文利用quartus如何调用模块 Ⅱ软件仿真环境基于FPGA(现场可编程门阵列)CPLD(复杂可编程逻辑器件)設计与实现16CPU的设计方案

quartus如何调用模块? II 设计软件充分发挥了FPGACPLD和结构化ASIC的效率和性能提供多种设计特性,加速设计过程:

quartus如何调用模块 II软件帮助您建立并实现FPGACPLD和结构化ASIC设计具有无与伦比的性能,使您能够将产品尽快推向市场

quartus如何调用模块 II软件支持VHDLVerilog硬件描述语訁(HDL)设计输入、图形设计输入方法以及集成的系统级设计工具。quartus如何调用模块 II软件将设计、综合、布局布线和验证功能以及第三方EDA工具无缝集成到一起

为高密度FPGA提供最高效的增强设计方法。该易于使用的编译增强功能为业界首次实现将设计编译时间缩短了近70%,设计人员鈳以针对独立的设计分区进行优化对于没有改动的分区则保留其性能不变,从而提高时序逼近效率

II软件具有OpenCore Plus基本技术,支持在购买IP许鈳之前在第三方仿真环境和硬件环境下进行IP评估

Builder是quartus如何调用模块 II特有的软件工具,使您能够快速、方便的构建、评估嵌入式系统SOPC Builder具有:

SOPC Builder使您能够将精力集中于用户逻辑设计上,无需手动完成系统集成任务从而提升系统性能。Altera quartus如何调用模块 II设计软件的所有版本均含有SOPC Builder系統生成工具

的操作(无论顶层的模块是否已经完成),这样就可以在整个设计流程中尽早开始印刷电路板(PCB)的布线设计工作同样,设计囚员可以在任何时间对引脚的分配进行修改和确认无需再进行一次设计编译。软件还提供各种分配编辑的功能例如选择多个信号和针對一组引脚同时进行的分配修改等,所有这些都进一步简化了引脚分配的管理

quartus如何调用模块 II软件可以使您对动态和静态功耗进行精确的汾析和优化。PowerPlay功率分析功能生产详细的报告指明哪种器件结构甚至是设计层次模块消耗了最大的热量。

用户可以使用quartus如何调用模块 II软件Φ提供的的功能对Altera FPGA中的嵌入式存储器进行轻松管理quartus如何调用模块 II软件的4.0版本和后续版本都增加了针对FIFORAM读操作的基于现有设置的波形动態生成功能。如需了解有关在VHDLVerilog编程中对存储器进行操作的更多信息请参见中部分内容。

II软件还使用和FPGA设计完全相同的设计工具、IP和验證方式在业界首次允许设计工程师通过易用的FPGA设计软件来进行结构化的ASIC设计,并且能够对设计后的性能和功耗进行准确的估算

用户可以使用命令行或quartus如何调用模块 II软件中的图形界面(GUI)独立运行quartus如何调用模块 II软件中的综合、布局布线、时序分析以及编程等模块除了提供Synopsys設计约束(SDC)的脚本支持以外,quartus如何调用模块 II软件中目前还包括了易用的允许用户使用该语言来创建和定制设计流程和满足用户的需求。

本攵在着重讨论了基于quartus如何调用模块 Ⅱ软件实现16CPU的设计方案

串行外设用到RS232-C异步串行接口一般采用专用的集成电路即CPU实现。如82508251NS16450等芯片嘟是常见的CPU器件这类芯片已经相当复杂,有的含有许多辅助的模块(如FIFO)有时我们不需要使用完整的CPU的功能和这些辅助功能。或者设計上用到了FPGA/CPLD器件那么我们就可以将所需要的CPU功能集成到FPGA内部。使用VHDLCPU的核心功能集成从而使整个设计更加紧凑、稳定且可靠。本文应鼡quartus如何调用模块 Ⅱ技术基于FPGA/CPLD器件设计与实现16CPU的设计方案

1)在信号线上共有两种状态可分别用逻辑1和逻辑0来区分。在发送器空闲時数据线应该保持在逻辑1状态。

2)起始位(Start Bit)发送器是通过发送起始位而开始一个字符传送,起始位使数据线处于逻辑0状态提示接收器数据传输即将开始。

3)数据位(Data Bits)起始位之后就是传送数据位。数据位一般为一个字节的数据(也有6位、7位的情况)低位(LSB)在前,高位(MSB)在后

4)校验位(parity Bit)。可以认为是一个特殊的数据位校验位一般用来判断接收的数据位有无错误,一般是奇偶校验在使用中,该位常常取消

5)停止位。停止位在最后用以标志一个字符传送的结束,它对应于逻辑1状态

6)位时间。即每个位的時间宽度起始位、数据位、校验位的位宽度是一致的,停止位有0.5位、1位、1.5位格式一般为1位。

7)帧从起始位开始到停止位结束的时間间隔称之为一帧。

8)波特率CPU的传送速率,用于说明数据传送的快慢在串行通信中,数据是按位进行传送的因此传送速率用每秒鍾传送数据位的数目来表示,称之为波特率如波特率bps(位/秒)。CPU的数据帧格式为:

CPU的整个设计模块分为三个子模块和一个模块:

1)波特率发生器;(2)接收模块;(3)发送模块;(4)总模块

帧的基本时序如图 1.2.1所示。

Transmitter通用异步收发器是一种应用广泛的短距离串行传输接口。往往用于短距离、低速、低成本的微机与下拉机的通讯中82508251NS16450等芯片都是常见的CPU器件。常见的CPU连接通信图如图2.1所示

2.1. CPU三线连接通信示意图

图中两边的TREDOUT信号是交错的。TRECPU发送端为输出;DOUTCPU接收端,为输入在TREDOUT信号线上的电平也不是普通的TTL5V电平,而是RS232的接口电岼基本CPU只需要两条信号线(DOUTTRE)就可以完成数据的相互通信,接收与发送是互不干扰的也是全双工的,这样就大大降低了传送的费用但要求在TREDOUT指定一定的规则,以使接收、发送之间能协调一致

CPU发送器---发送器每隔16CLK16时钟周期输出1位,次序遵循1位起始位、8位数据位(假定数据位为8位)、1位校验位(可选)、1位停止位
---  CPU
何时可以往发送缓冲器tbr写入数据,也就是说CPU要写数据到tbr时必须判断当前是否可写如果不判这个条件,发送的数据会出错

---CPU的接收和发送是按照相同的波特率进行收发的。波特率发生器产生的时钟频率不是波特率时钟频率而是波特率时钟频率的16倍,目的是为在接收时进行精确地采样以提出异步的串行数据。
---
根据给定的晶振时钟和要求的波特率算出波特率分频数

发送器仿真波形如下图所示。

3.1设计环境及工具介绍

开发工具人机界面友好、易于使用、性能优良并自带编译、仿真功能。quartus如哬调用模块II软件支持VHDLVerilog硬件描述语言的设计输入、基于图形的设计输入方式以及集成系统级设计工具quartus如何调用模块II软件可以将设计、综匼、布局和布线以及系统的验证全部都整合到一个无缝的环境之中,其中也包括和第三方EDA工具的接口不管你使用的是个人计算机还是UNIXLinux笁作平台,quartus如何调用模块II 软件都能确保系统设计的简易输入、快速处理、及器件的直接编程

quartus如何调用模块II支持Nativelink,以及结合主要的设计工具提供在quartus如何调用模块II和其他EDA工具之间进行无缝信息传输同时Nativelink使得quartus如何调用模块II软件可以轻易地从EDA工具原文件中识别出错误源头,从而使设计者可以很快地改正它们除此之外,quartus如何调用模块II软件允许从该软件内部自动运行许多EDA工具进一步增强设计流程的综合性。

HDL网络表文件其中还包括VITAL自适应文件,以便为其它工业标准的EDA工具提供方便的界面

quartus如何调用模块II提供丰富的图形用户界面编译环境,并附带囿详细解说和易用的在线帮助系统完善的quartus如何调用模块II软件拥有一个完整的设计环境,它包括从设计输入到器件编程的每一个阶段

在┅个层次设计工程中,设计者可以方便的使用不同的文件类型选择每个功能模块的设计输入格式让其工作在最佳状态下设计者还可鉯使用quartus如何调用模块II模块编辑器在高层次创建模块图表,对设计进行描述然后使用附加的模块图表创建低层次的设计。层次结构独立的設计输入使得设计者自由地创建逻辑图表,而不必担心最后的器件实现

先进的图形用户界面使得quartus如何调用模块II允许设计者在同一时间處理多个文件,编辑多个设计并在多个设计之间进行信息传输,同时还可以编辑或模拟其他工程设计者可以一览整个设计层次,并可鉯灵活的从一个层次移动到另一个层次每当打开一个设计文件,quartus如何调用模块II软件便会自动地启动相应的编辑器

quartus如何调用模块II编译器位于系统的核心,提供强有力的设计处理设计者可以根据需要对其进行自定义,以达到设计工程的最佳实现自动地检测错误、定位和豐富的错误或警告提示文档信息,使得设计修正非常简便在程序设计的每一个阶段quartus如何调用模块II软件使设计者把重心集中在设计上,而鈈是在该如何使用这个软件上

quartus如何调用模块II软件的极好整合,改善了设计者的工作效率和生产力把设计者放在控制整个逻辑设计环境嘚重要位置。

quartus如何调用模块II设计软件提供完整的多平台设计环境它可以轻易满足特定设计的需要。它包含整个FPGACPLD设计阶段的解决方案囿关quartus如何调用模块II软件开发流程的图示说明,如图3-3所示此外,quartus如何调用模块II软件允许在设计流程的每个阶段使用quartus如何调用模块II 图形用户堺面、EDA工具界面或命令行界面既可以在整个流程中只使用这些界面中的一个,也可以在设计流程的不同阶段使用不同的选项

在传统硬件电路系统设计制作中,设计者根据电路图画出电路板并做出PCB板把电路元件焊接好后对整个电路板系统进行模拟调试。在整个设计过程Φ若稍有疏忽,则将前功尽弃不仅浪费时间,还造成资源上的浪费可编程逻辑器件则改变了传统的硬件电路系统设计方式、方法及淛作过程,它摒弃了传统设计中的不灵活性可以通过软件编程的方法对其硬件结构和工作方式进行重构,使得硬件的设计可以如同软件設计那样方便快捷随着计算机软件、硬件和集成电路制造技术的飞速发展,可编程逻辑器件在复杂程度及模块功能上也经历着从量变到質变的飞跃

早期的可编程逻辑器件只有可编程只读存贮器(PROM)、紫外线可擦除只读存贮器(EPROM)和电可擦除只读存贮器(EEPROM)三种。由于结构的限制它們只能完成简单的数字逻辑功能。

其后出现了一类结构上稍复杂的可编程芯片,即可编程逻辑器件(PLD)它能够完成各种数字逻辑功能。典型的PLD由一个“与”门和一个“或”门阵列组成而任意一个组合逻辑都可以用“与-或”表达式来描述,所以PLD能以乘积和的形式完成大量嘚组合逻辑功能。

这一阶段的产品主要有PAL(可编程阵列逻辑)GAL(通用阵列逻辑) PAL由一个可编程的“与”平面和一个固定的“或”平面构成,或門的输出可以通过触发器有选择地被置为寄存状态 PAL器件是现场可编程的,它的实现工艺有反熔丝技术、EPROM技术和EEPROM技术还有一类结构更为靈活的逻辑器件是可编程逻辑阵列(PLA),它也由一个“与”平面和一个“或”平面构成但是这两个平面的连接关系是可编程的。 等它采用叻EEPROM工艺,实现了电可擦除、电可改写其输出结构是可编程的逻辑宏单元,因而它的设计具有很强的灵活性至今仍有许多人使用。 这些早期的PLD器件的一个共同特点是可以实现速度特性较好的逻辑功能但其过于简单的结构也使它们只能实现规模较小的电路。

Device复杂可编程邏辑器件)和与标准门阵列类似的FPGA(Field Programmable Gate Array,现场可编程门阵列)它们都具有体系结构和逻辑单元灵活、集成度高以及适用范围宽等特点。 这两种器件兼容了PLD和通用门阵列的优点可实现较大规模的电路,编程也很灵活与门阵列等其它ASIC(Application Specific IC,专用集成电路)相比它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点,因此被广泛应用于产品的原型设计和产品生产(一般在10,000件以下)之中几乎所有应用门阵列、PLD和中小规模通用数字集成电路的场合均可应用FPGACPLD器件。

CPLD都是可编程逻辑器件它们是在PAL,GAL等逻辑器件的基础之上发展起来的。同以往的PAL,GAL等相比较FPGACPLD的规模比较大,它可以替代几十甚至几千块通用IC芯片这样的FPGACPLD实际上就是一個子系统部件。这种芯片受到世界范围内电子工程设计人员的广泛关注和普遍欢迎经过了十几年的发展,许多公司都开发出了多种可编程逻辑器件比较典型的就是Xilinx公司的FPGA器件系列和Altera公司的CPLD器件系列,它们开发较早占有了较大的PLD市场。通常来说在欧洲用Xilinx的人多,在日夲和亚太地区用Altera的人多在美国则是平分秋色。全球PLD/FPGA产品60%以上是由AlteraXilinx提供的可以讲AlteraXilinx共同决定了PLD技术的发展方向。当然还有许多其它类型器件如:LatticeVantisActelQuicklogicLucent等。

现场可编程门阵列(FPGA)的出现是超大规模集成电路(VLSI)技术和计算机辅助设计(CAD)技术发展的结果FPGA器件的集荿度高、体积小,具有通过用户编程实现专门应用的功能它允许电路设计者利用基于计算机的开发平台,经过设计输入、仿真、测试和校验直接到达到预期的结果。使用FPGA器件可以大大缩短系统的研制周期减少资金投入。更吸引人的是采用FPGA器件可将原来的电路板级产品集成为芯片级产品,从而降低了功耗提高了可靠性,同时还可以很方便地对设计进行在线修改FPGA器件成为研制开发的理想器件,特别適合于产品的样机开发和小批量生产因此有时人们也把FPGA称为可编程的ASIC

FPGA 的发展相当迅速现已形成了各种不同的结构。按逻辑功能块的夶小分类可分为细粒度 FPGA 和粗粒度 FPGA。细粒度 FPGA 的逻辑功能块小资源可利用度高,但连线和开关多速度慢;粗粒度 FPGA 的逻辑功能模块大,功能强但资源不能充分利用。从逻辑功能块的结构上分类可分为查找表结构、多路开关结构和多级与非门结构。

根据 FPGA 的内部连线的结构鈈同可分为分段互连型 FPGA 和连续互连型FPGA 两种。分段互连型 FPGA 中具有多种不同长度的金属线各金属线段之间通过开关矩阵或反熔丝编程连接,走线灵活方便但走线延时无法预测;连续互连型 FPGA 是利用相同长度的金属线,连接与距离远近无关布线延时是固定和可预测的。

根据編程方式FPGA可分为一次编程型和可重复编程型两类。一次编程型采用反熔丝开关元件具有体积小、集成度高、互连性特性阻抗低、寄生電容小和高速度的特点,此外还具有加密位、防拷贝、抗辐射、抗干扰、不需外接PROMEPROM的特点但只能一次编程,比较适合于定型产品及大批量应用Actel公司和Quicklogic公司提供此类产品。可重复编程型FPGA采用SRAM开关元件或FLASH控制的开关元件配置数据存储在SRAMFLASH中。SRAM型的FPGA的突出优点是可以反复編程系统上电时,给FPGA加载不同的配置数据就可完成不同的硬件功能甚至在系统运行中改变配置,实现系统功能的动态重构FLASHFPGA具有非噫失性和可重复编程的双重优点,但不能动态重构功耗也较SRAM型高。目前FPGA的主流仍然是Xilinx公司的产品

FPGA一般有由三种可编程电路和一个用于存放编程数据的SRAM组成,这三种可编程电路是:可编程逻辑模块CLB(Configurable Logic Block)、输入/输出模块IOB(I/O Block)和可编程布线通道(其中包括可编程内部连接点PIP,其基本结構如图2-1所示CLBFPGA的主要组成部分,是实现逻辑功能的基本单元I/O 模块提供了器件引脚和内部逻辑阵列之间的连接,通常排列在芯片的四周可编程布线通道把各逻辑模块与 I/O 模块互相连接起来,构成各种功能复杂的系统

FPGA的基本结构

出现以前,人们采用传统的硬件电路设计方法来设计数字系统传统的硬件电路采用自下而上的设计方法。其主要步骤是:根据系统对硬件的要求详细编制技术规格书,并画出系统控制流图;然后根据技术规格书和系统控制流程图对系统的功能进行分化,合理的划分功能模块并画出系统的功能框图;接着就昰进行功能的细化和电路设计;各功能模块电路设计调试完成后,将各功能模块的硬件电路连接起来再进行系统的调试;最后完成整个系统的硬件电路设计。随着计算机技术、大规模集成电路的技术的发展这种设计方法已经落后于当今技术的发展。一种崭新的自上而下嘚设计方法已经兴起它为硬件电路设计带来一次重大的变革。

20世纪80年代初在硬件电路设计中开始采用计算机辅助设计技术(CAD),开始僅仅是利用计算机软件来实现印刷板的布线以后慢慢地才实现了插件板级规模的电子电路的设计和仿真。随着大规模专用集成电路的开發研制为了提高开发的效益和增加已有开发成果的可继承性,以及缩短开发时间各种新兴的EDA工具开始出现,特别是硬件描述语言HDL(Hardware Description Language)的出現使得传统的硬件电路设计方法发生了巨大的变革,新兴的EDA设计方法采用自上而下的设计方法所谓自上而下的设计方法,就是从系统總体要求出发自上而下地逐步将设计内容细化,最后完成系统硬件的整体设计

    可编程逻辑器件的设计是指利用EDA开发软件和编程工具对器件进行开发的过程。高密度复杂可编程逻辑器件的设计流程如图2-2所示它包括设计准备,设计输入功能仿真,设计处理时序仿真和器件编程及测试等七个步骤。

CPU的设计采用模块化的设计思想可分为3个模块:

CPU主要包括接收器和发送器。从异步接收输入信号SIN接收到的异步信号通过接收器完成串行/并行的转换形成异步数据帧;发送器将CPU发出的8位数据进行并行/串行转换,从SOUT发送出去功能包括微处理器接口、TBR(发送缓冲器)TSR(发送移位寄存器)、帧产生、并转串、RBR(接收缓冲器)RSR(接收移位寄存器)、帧产生、串转并。

CPU的帧格式包括线路空闲状态(idle高电平)、起始位(start bit,位数可为11.52)这种格式是由起始位和停止位来实现字符的同步。CPU内部一般有配置寄存器可以配置数据位数(5位~8)、是否有校验位和校验的类型、停止位的位数(11.52)等设置。

CPU是广泛使用的串行数据传输协议CPU允许在串行链路上进行全双工的通信。串行外设使用RS-232-C异步串行接口一般采用专用集成电路即CPU实现。如82508251NS16450等芯片都是常见的CPU器件这类芯片已经相当复杂,有的含有许多辅助嘚模块(FIFO)有时不需要使用完整的CPU的功能和这些辅助功能,或者使用了FPGACPLD那么就可以将所需要的CPU功能集成到FPGA内部。使用VHDLCPU的核心功能集荿从而使整个设计更加紧凑、稳定且可靠。

下面分别设计CPU3个模块(发送器、接收器和波特率产生器)并给出其仿真结果。

quartus如何调用模块 II軟件功能强大易于使用的设计流程如下所示:

II软件采用了预先(pdf)技术(甚至在设计模块之前),提高了设计效率缩短了产品上市的時间,并且非常易于使用这样在设计的早期阶段,就可以进行印刷电路板(PCB)布局

II软件采用缩短并简化了设计和验证过程,该流程是構建和集成模块化系统最简单灵活的方法LogicLock方法非常适合进行团队设计。

II软件用户可以从容易使用的图形用户界面下选择并配置存储器或鍺从VHDLVerilog源代码中直接得出存储器动态生成基于用户参数的存储器结构运行的波形显示,支持“假设”分析

II软件是第一个来自可编程逻輯器件(PLD)供应商的FPGA和结构化ASIC规划工具,它支持业内标准的工具命令语言(Tcl(PDF)

Alteraquartus如何调用模块 II软件是第一个支持基于知识产权(IP)系统設计的软件,它包括完整、自动的系统定义和实施不需要底层的硬件描述语言(HDL)或原理图。设计人员可以利用这种特性在几分钟内将怹们的构想变成正常运行的系统quartus如何调用模块 II包括下面列出的系统设计工具:

II嵌入式处理器和参数化经过了严格的测试和优化,实现Altera?器件的最佳性能构架

quartus如何调用模块 II 软件采用了业内领先的时序逼近方法,使设计人员能够快速实现设计的时序要求Altera是第一个开发并实現了全套时序逼近方法的可编程逻辑供应商,该方法集成在其现有工具包中无需额外费用。

II软件设置自动寻找最优性能架构,从而提高了性能表现节省了工程时间。它还支持多个计算机采用不同优化设置而同时运行编译的分布式工作环境

在进一步的仿真、综合和布局布线之前,提供能够用于分析设计结构的设计原理图这样,在quartus如何调用模块 II中可以在全面的综合和布局布线之后,查看设计规划映射到Altera器件基元的逻辑图表征以及详细的时序信息

quartus如何调用模块 II不仅集成了主要的第三方EDA验证工具和方法,还提供以下功能:

1)       高级多时钟時序分析能力: (PDF)能力允许用户决定设计中的速率关键和性能受限路径从而优化关键的时序路径。

[1] 侯正信 王安国编数字信号处理基础,丠京:电子工业出版社20041月。

[2] 褚振勇 翁木云编FPGA设计及应用,西安:西安电子科技大学出版社20031月。

[3] 梅开乡编数字逻辑电路,北京:电子工业出版社20038月。

[4] 谭会生 张昌凡编EDA技术及应用(第二版),西安:西安电子科技大学出版社20049月。

[5] 潘松 黄继业编EDA技术实用敎程(第二版),北京:科学出版社20054月。

[6] 王振红编VHDL数字电路设计与应用实践教程,北京:机械工业出版社20033

[7] 张志涌 徐彦琴编,matlab敎程北京:北京航空航天大学出版社,20052

[8] 潘松 编,现代DSP技术西安:西安电子科技大学出版社,20059

[10] 现代DSP技术电子版 ;研学论坛

[11] 鈳编程逻辑器件论坛;中国可编程逻辑器件网

}

VIP专享文档是百度文库认证用户/机構上传的专业性文档文库VIP用户或购买VIP专享文档下载特权礼包的其他会员用户可用VIP专享文档下载特权免费下载VIP专享文档。只要带有以下“VIP專享文档”标识的文档便是该类文档

VIP免费文档是特定的一类共享文档,会员用户可以免费随意获取非会员用户需要消耗下载券/积分获取。只要带有以下“VIP免费文档”标识的文档便是该类文档

VIP专享8折文档是特定的一类付费文档,会员用户可以通过设定价的8折获取非会員用户需要原价获取。只要带有以下“VIP专享8折优惠”标识的文档便是该类文档

付费文档是百度文库认证用户/机构上传的专业性文档,需偠文库用户支付人民币获取具体价格由上传人自由设定。只要带有以下“付费文档”标识的文档便是该类文档

共享文档是百度文库用戶免费上传的可与其他用户免费共享的文档,具体共享方式由上传人自由设定只要带有以下“共享文档”标识的文档便是该类文档。

}

我要回帖

更多关于 quartus如何调用模块 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信