proficad8.3.4破解版可以转换成pdf版吗

按字母检索
按声母检索
Copyright &
. All Rights Reserved .页面执行时间:531.25000 毫秒查看: 3566|回复: 1
TINA.Pro 电子线路模拟仿真软件官方教程
AutoVue.Electro-Mechanical.Pro.v20.0.Win32 1CD(电子与机械工业文档查看、分析软件。内含强大的解决方案,并支持标准的问题跟踪系统)
AutoVue.SolidModel.Pro.v19.0.CHS 1CD
Bluespec v2008.06.E Linux 1CD
Cadint PCB v4.03 1CD
Chipsmith v3.8.1 1CD
CircuitMaker 2000 1CD(仿真继电器的软件)
Circuit.Shop.v2.03.WinALL 1CD
Cohesion Design Systems v5.11 1CD
CopperCAM v 1CD(专业PCB雕刻软件)
CSiEDA v4.0 1CD(先进的电路设计软件)
& && && && && && && &
IMST EMPIRE v4.12-ISO 1CD(采用FDTD的全三维高频电磁场仿真软件包)
MagCAD.v2.3.4.WinALL 1CD(简单实惠的磁场空间分布计算软件。这些磁场可以是由永久磁铁或者非线性线圈形成的区域)
E-Tools.E-Studio.Pro.v4.42.029a 1CD
Elanix SystemView v2006 1CD(信号处理系统模拟与分析工具)
Elcut 4.1 1CD
Electra Autorouter v2.7 1CD
EMIT.Maxwell.v5.0.3.5607 1CD(电磁物理学处理分析解决方案)
EMSight v1.54 1CD(电磁仿真器,可以分析高频,射频和微波以及天线电路的特性。 EMSight还可以分析具有无限多层介质片,
& && && && && && & 无限多个端口,并且介质层之间有互连的过条的任意平面电路)
Edison v4.0 1CD(中、英文破解版,电子实验室)
EWARM-EV v3.40A 1CD
Wade.Instruments.EZ.SchemATIcs.v2.1.17 1CD
FAISYN v2.2 1CD(一个滤波器设计软件)
Furret.PCB.v2.4 1CD
Forte Cynthesizer v3.60 Linux 1CD
Genesis Frontline v7.1 PCB Designer 1CD
Gemalto Developer Suite v3.4.2 1CD(无线通讯开发软件)
GT-Work 2 v2.90U Win32-ISO 1CD(触摸屏编程软件)
HDL Turbo Writer 6.0e 1CD(VHDL/verilog专用编辑器)
Hamic.v2.0.WinAL 1CD(电路计算器,可以计算电路的电阻,电压等)
IPC7351 LP Eval v4.20 1CD
IX1D.v3.35 1CD(一款1维直流(DC)电阻,诱发多倍性(IP),磁电的(MT)和电磁的地质探测重建软件)
KwickFit v5.2 1CD
LAVENIR v2001 1CD
Lattix.LDM.v5.0.5 1CD
Omninet v6.07 for Windows PCB转SCH软件(即PCB转为原理图)
UC Gui v3.26 1CD
软件為完整版本,需要請聯繫電話:182
■□■□■□■□■□■□■□■□■□■□■□■□■□■□■□
 ************************************************** **************************************
& & TEL 182 &&联系人 彭小姐
  联系QQ:&&联系QQ:
  邮件Email: & &邮件Email: (来信复回复)
& & MSN: & &&&&&
&&点击咨询:
  请使用Ctrl+F 键来查询您所需软件,找到后联系我们QQ或是邮件,我们会第一时间为您回复
■□■□■□■□本廣告長期有效■□■□■□■□■□■□■□■
V-ELEQ 电气仿真系统1.10 1CD
V-ELEQ使用说明书 1CD
V-ELEQ视频演示 1CD
ParCAM v8.0c 1CD
ParCAM v7.26d 操作手冊
PC-Lint v9.0 1CD
PowerLogic v1.1 1CD
ProfiCAD v6.1.4 1CD(电气原理图创建CAD工具)
Plexim.Plecs.Standalone.v3.1.4.for.Win32 1CD(独立的时域仿真的电力电子系统软件)
Plexim.Plecs.Standalone.v3.1.4.for.Win64 1CD
Plexim.Plecs.Standalone.v3.1.4.for.Linux 1CD
Plexim.Plecs.Standalone.v3.1.4.for.Linux64 1CD
Plexim.Plecs.Standalone.v3.1.4.for.MacOSX 1CD
Pioneer.Hill.Software.SpectraPLUS.v5.0.26.0E 1CD(频谱管理软件系统,为各种无线通信的规划和管理提供了专业的工具)
Docklight Scripting v1.9 1CD(通过COM,TCP和UDP串行通信协议的自动化测试工具)
MyCAD MyAnal v6.3 1CD(模拟电路设计工具)
MyCAD MyChip 2005 1CD(Layout设计工具)
MyCAD.MyLogic.StATIon v5.1 1CD (电路图Editor,逻辑Simulator仿真工具)
MyCAD.MyVHDL.v5.1 1CD (VHDLSimulator 仿真工具)
Spice Vision v2.1 for WinALL 1CD(一个很流行的通用型积体电路模拟程序,可处理非线性直流,非常线暂态等功能)
Spice Vision v2.1 for LINUX 1CD
Spyglss v4.2 Linux32 1CD
Spyglss v4.2 Linux64 1CD
TinyCAD v2.80.00.396 1CD
Tina Pro v6.0 中文版
Tina.Industrial.Pro.v8.0.with Manual 1CD(英文版)
TINA.Pro 电子线路模拟仿真软件官方教程
Xilinx.AccelDSP.v9.1 1CD
Xilinx DSP Tools v9.2.01.1028 1CD
Xilinx.ChipScope.Pro.v10.1.Windows.32bit 1CD(用于Xilinx FPGA的先进的实时调试和验证工具)
Xilinx.ChipScope.Pro.v9.2i.Windows.64bit 1CD
Xilinx.ChipScope.Pro.v9.2i.Linux.32bit 1CD
Xilinx.ChipScope.Pro.v9.2i.Linux.64bit 1CD
Xilinx EDK v9.2.01i 1CD
Xilinx EDK 9.2i WinALL-ISO 1DVD(嵌入式开发套件(EDK)是用于设计嵌入式可编程系统的全面的解决方案)
Xilinx FoundATIon 4.1i-ISO 1CD
Xilinx Syetem Generator v8.2.01 1CD
Xilinx System Generaror v3.1 1CD
Xilinx 中文教程
Xilinx.ISE.Design.Suite.v12.1.WinALL-ISO 1DVD
Xilinx.ISE.Design.Suite.v12.1.Linux-ISO 1DVD
Xilinx.ISE.v7.1i.Spartan2.VirtexE.Devices 1CD
Xilinx.ISE.v7.1i.Spartan3E.Virtex3E.Devices 1CD
Xilinx.ISE.v7.1i.Linux 1CD
Xilinx.ISE.v7.1i.Linux.X64 1CD
Xilinx.Embedded.Development.Kit.v6.3i 1CD
Xilinx.Embedded.Development.Kit.v6.3i.Addon 1CD(帮助文件)
Xilinx.Embedded.Development.Kit.and.XPS.Ver7.1.Incl.Sp2.For.Win32.PROPER-ISO 1CD
Xilinx.Embedded.Development.Kit.and.XPS.Ver7.1.For.Linux-ISO 1CD
Xilinx.PlanAhead.Design.Analysis.Tool.v10.1-ISO 1CD(通过简化综合与布局布线间的步骤, 能使用户在设计中实现最高性能并极大的减少设计时间)
Xilinx.PlanAhead.v9.2.7.Linux 1CD
Xilinx.PlanAhead.v9.2.7.Solaris 1CD
Xilinx.TMRTool v2.1.76 1CD
Cosmic.Software.Suite.v10.2008-ISO 1CD(嵌入式系统开发工具)
piler.IDEA.and.ZAP.Sim v2.9p 1CD
piler.IDEA.and.ZAP.Sim.v4.5b 1CD(嵌入式系统开发工具包)
CosMIC STM8 16K C Compiler v4.2.8 1CD
mikroBasic.for.dsPIC30-33.and.PIC24.v6.0.0.0 1CD
MikroElektronika.MikroBasic.For.PIC.v7.0.0.2 1CD(全能且独立的PIC单片机编译器)
MikroBasic Pro for AVR
MikroC Pro for AVR
MikroPascal Pro for AVR v2.10 1CD
MikroBasic Pro PIC
MikroC Pro PIC v3.2 1CD
MikroPascal Pro PIC
Oshonsoft.8085.Simulator.IDE.v2.60 1CD
Oshonsoft.AVR.Simulator.IDE.v1.30 1CD
Oshonsoft.Function.Grapher.v1.20 1CD
Oshonsoft.PIC10F.Simulator.IDE.v1.40 1CD
Oshonsoft.PIC18.Simulator.IDE.v2.60 1CD
Oshonsoft.PIC.Simulator.IDE.v6.70 1CD
Oshonsoft.Z80.Simulator.IDE.v9.60 1CD
那么多。。。。。。
Powered by
Designed by7-电力电气、电子电路_极度软件_天涯博客
国内软件|国外软件|印前软件|防伪软件|刻绘软件|玻璃图庫|排版印刷软件|色彩管理软件等...欢迎访问
今日访问:[$DayVisitCount$]
总访问量:12925
开博时间:
博客排名:暂无排名
QQ: Email:kefu007@-+电力电气、电子电路+- ~~~~~~~~~~~~~~~~~~~ Atrenta产品:Agilent GoldenGate v4.4.9 Linux 1DVD(RTL验证标准)Atrenta SpyGlass v4.40 Linux 1CDAtrenta SpyGlass v4.5.1 LinuxAMD64 1CD InnovEDA产品:InnovEDA.E-Sim.v4.1 1CDInnovEDA.FabFactory.7.0 1CDInnovEDA PowerPCB Suite v4.0 1CDInnovEDA.Visual.HDL.v6.7.8.for.Veril 1CDInnovEDA.Visual.HDL.v6.7.8.for.VHDL 1CDInnovEDA.Visual.IP.v4.4.1 1CD DownStream.Technologies产品:BluePrint-PCB 3.2.0.664 with CAM350 10.7.0.564 1CD(自动化PCB文档制作) FABmaster v8f2 Gold 1CD(治具制作软件) CAMtastic产品:Camtastic 1CD(PCB设计过程中的CAM技术) Baas Electronics产品:Layo1.PCB.Design.Pro.v10.0 1CD(设计和制造电子测量和控制设备等机械制图专业软件) Gerber产品:ACE 3000 Professional v6.20 1CDFAB 3000 Professional v5.1.1.4 1CD MiG GmbH & Co.产品:WASP-NET.V5.4.3-ISO 1CD(微波和孔口天线设计方面的快速准确的计算机辅助设计和优化软件) GraphiCode产品:GC-PowerStation.v9.1.2 1CD(中、英文版,即以前的GC-CAM 4.14的高版本,印刷电路板设计与制造CAD工具软件) Etap产品:Etap.PowerStation.v7.5-ISO 1DVD(电气专业设计、分析软件) MemResearch产品:Memresearch EM3DS v2008 1CD(意大利产出的电磁三维软件) SimLab Software产品:SimLab.Suite.2008 1CD(专门提供电缆、电缆束和电路板信号完整性(SI)、电源完整性(PI)和电磁干扰性(EMI)相关分析软件) Sisoft产品:Sisoft Quantum-SI 2008.10 SP4 1CD(信号完整性仿真分析) PowerSIM产品:PSIM Professional v9.1.1.400 & PsimBook Win32 1CD(仿真PowerPC指令集的模拟器)PSIM Professional v9.0.3.400 Win64 1CDPSIM Plus v5.0 网络版 1CD Agilent Technologies Inc.产品:Agilent.89600.Vector.Signal.Analyzer.v12.00-ISO 1CD(频谱分析)Agilent.Electromagnetic Professional(EMPro).2010.07 Win32_64-ISO 1DVD(3D电磁场设计平台)Agilent.Electromagnetic Professional(EMPro).2010.07 Linux32_64-ISO 1DVDAgilent RF Design Environment(RFDE) 2008 linux 1CD Agilent.Antenna.Modeling.Design.System(AMDS).vCD(电子仿真平台)Agilent.Advanced.Design.System(ADS).v2011.10.Win32 & Win64-ISO 1DVD(电子设计自动化软件)Agilent.Advanced.Design.System(ADS).v2011.05.Linux32 & Linux64-ISO 1DVD
Agilent ADS 2005A 快速入门中文教程Agilent ADS 中文视频教程(台湾)Agilent ADS详尽教程Agilent ADS中文教程 Agilent EMDS 2006B 1CD(电磁设计系统)Agilent.GeneSpring.GX.v11.5.Win32_64 2CDAgilent.GeneSpring.GX.v11.5.Linux32_64 2CDAgilent.GeneSpring.GX.v11.5.MacOSX-ISO 1CDAgilent Genesys 2012.01 Win32_64 1DVD(射频EDA软件)Agilent.Genesys.v2010.05.SP1.Update.Only 1CDAgilent.Genomic.Workbench.v5.0.14 1CDAgilent.Genomic.Workbench.x64.v5.0.14 1CDAgilent.Genomic.Workbench.Linux.v5.0.14 1CDAgilent.Genomic.Workbench.MacOSX.v5.0.14 1CDAgilent GoldenGate v4.3.8 Linux 1CDAgilent SystemVue 2012.06 Win32 1DVDAgilent.IC-Cap.v2009.Win32 & Win64-ISO 1CD(集成电路表征和分析程序)Agilent.IC-Cap.v2009.Linux32-ISO 1CD Ommic ED02AH Libary v2.6 for ADS 2002 1CDSimetrix Simplis v5.50 1CD(完全解密)Simetrix Simplis manuals (用户手册)Agilent.Testexec.SL.v5 1CD Agilent.VEE.Pro.v9.0 1CD(是为测试程序开发者提供的一种高效、简便易用的图形编程环境)Agilent.VEE.Pro.v7.5.Addon 1CD (帮助文件)Agilent.Hfss.v5.6-ISO 1CD(专业 3D 高频系统全波电磁场模拟软件)Agilent.89600.Vector.Signal.Analyzer.v8.0-ISO 1CD(频谱分析) ANSOFT产品:Ansoft HFSS v14.0 win32_64 Full-ISO 2CD(三维结构电磁场仿真软件) Ansoft Maxwell 3D v15.0 Win32-ISO 1CD(电磁场分析软件) Ansoft Maxwell 3D v15.01 Update Only Win32 1CDAnsoft Maxwell 3D v15.0 Win64-ISO 1CDAnsoft Maxwell 3D v15.01 Update Only Win64 1CD Ansoft Designer and Nexxim v7.0 Full-ISO 1DVD(电子电磁仿真)Ansoft Designer 7.0.1 Update Only 1CDAnsoft Designer v9.0 HFSS 1CDAnsoft Spiral Design Kit for Hfss v10.0 1CD Ansoft Ephysics v3.1 WinALL-ISO 1CD(Maxwell的一个插件,电子电磁设计)Ansoft Ensemble v8.0 1CDAnsoft Links 6.0-ISO
1CD Ansoft OpTimetrics v 2.5-ISO 1CDAnsoft PExprt v7.0.20-ISO 1CD(全图形界面的电磁设备设计软件)Ansoft.Q3DExtractor.v10.0 Win-ISO 1CDAnsoft Rmxprt v12.1-ISO 1CD(电力电子电磁器件设计、分析和优化工具) Ansoft SCap v5.5 1CD(Ansoft HFSS V9.1的图示捕获工具)Ansoft.Serenade.Densign.Environment.v8.71 1CD(射频微波、电路设计软件)Ansoft.SIWave.6.0-ISO 1CD(全新的信号完整性仿真工具,特别适合于对高速PCB板和复杂的IC封装进行信号完整性分析)Ansoft Simplorer v10.0-ISO 1CD(强大的多领域复杂系统仿真软件包)Ansoft Simplorer v7.0 day1 & day2  Ansoft Spicelink v5.0-ISO 1CD(通用信号完整性电磁场仿真工具)Ansoft.Turbo.Package.Analyzer(TPA).v4.1-ISO 1CDAnsoft Max&Eph traning-ISO 1CD(教程)Ansoft HFSS v10 用户手册(英文)Ansoft Hfss 中文培训教程Ansoft Hfss v9.0 培训影像Ansoft Hfss v9.2 完全教程Ansoft HFSS v9使用技巧Ansoft HFSSv9边界条件和激励设置培训Ansoft Hfss 9.2 用户指南Ansoft Hfss 8.0 中文培训教程Ansoft Maxwell 2D 3D 中文使用说明Ansoft Maxwell 官方手册(英文)Ansoft Rmxprt application 1CD Apache Design Solutions产品:Apache Design Solutions RedHawk v10.1.2 SP1 Linux64 1CD(IC功率分析解決方案) CDAJ产品:Speed v2011.0-ISO 1CD(电机设计软件) COBHAM产品:Opera v12.003 1CD(完整的电磁场3D分析软件) SAMTECH产品:Samcef For Wind Turbines v1.1-ISO 1DVD(风力涡轮发电机设计的专业工程软件) JMAG产品:JMAG-Designer v10.5 Win32-ISO 1DVDJMAG-Designer v10.5 Win64-ISO 1DVDJMAG Designer v10.3.03k Win32-ISO 1DVD(马达电磁分析软件)JMAG Designer v10.3.03k Win64-ISO 1DVDJMAG Studio v10.02201a Win32-ISO 1DVD Infolytica Corporation产品:InfoLytica.MagNet.v7.1.1 1CD(高精度磁场解析模拟软件) Silvaco产品:Silvaco AMS v2010.00 Win32 1CDSilvaco AMS 2008.09 Linux 1CDSilvaco AMS 2008.09 Linux64 1CDSilvaco AMS 2008.09 Solaris 1CDSilvaco AMS 2008.09 Manual 1CDSilvaco Iccad CDSilvaco Iccad 2008.09 Linux 1CDSilvaco Iccad 2008.09 Linux64 1CDSilvaco Iccad 2008.09 Solaris 1CDSilvaco Iccad 2008.09 Manual 1CDSilvaco Logic CDSilvaco Logic 2008.09 Linux 1CDSilvaco Logic 2008.09 Linux64 1CDSilvaco Logic 2008.09 Solaris 1CDSilvaco Logic 2008.09 Manual 1CDSilvaco TCAD 2012.00 Win32_64 1DVDSilvaco TCAD 2010.00 Linux 1CDSilvaco TCAD 2012 Linux64 1DVDSilvaco TCAD 2008.09 Solaris 1CDSilvaco TCAD 2008.09 Manual 1CDSilvaco Catalyst 2008.09 Linux 1CDSilvaco Catalyst 2008.09 Linux64 1CDSilvaco Catalyst 2008.09 Solaris 1CDSilvaco Char 2008.09 Linux 1CDSilvaco Char 2008.09 Linux64 1CDSilvaco Char 2008.09 Solaris 1CDSilvaco Firebird 2008.09 Linux 1CDSilvaco Firebird 2008.09 Linux64 1CDSilvaco Firebird 2008.09 Solaris 1CDSilvaco Mode 2008.09 Linux 1CDSilvaco Mode 2008.09 Linux64 1CDSilvaco Mode 2008.09 Solaris 1CDSilvaco Parasitic 2008.09 Linux 1CDSilvaco Parasitic 2008.09 Linux64 1CDSilvaco Parasitic 2008.09 Solaris 1CDSilvaco UT 2007.04 Linux 1CDSilvaco UT 2007.04 Linux64 1CDSilvaco UT 2007.04 Solaris 1CDSilvaco VWF 2007.04 Linux 1CDSilvaco VWF 2007.04 Linux64 1CDSilvaco VWF 2007.04 Solaris 1CDParallel SmartSpice 1.9.3.E 1CD AVANT!/SYNOPSYS产品:Actel.Designer.v8.3.SP1.Windows-ISO 1CD(专业的FPGA器件开发软件,是一个能使FPGA的系统性能提高15%的布局工具)Actel.Designer.v8.4.2.6.SP2-ISO 1CDActel.Designer.v8.3.SP1.Linux-ISO 1CDActel.Designer.v8.3.SP1.Solaris-ISO 1CDActel.Flashpro.v8.4-ISO 1CD(编程器)Actel.Libero.IDE.Platinum.SP1.v8.6.2.10 1CDActel.Libero.IDE.v8.4.Windows-ISO 1DVD(FPGA产品设计)Actel.Libero.IDE.v8.4.Linux-ISO 1DVDActel.CoreConsole.v1.4 1CD(IP开发平台 (IDP),有助于简化以FPGA为基础系统级应用的构建) Synopsys Astro vZ-2007.03 SP10 Linux 1CDSynopsys Astro vZ-2007.03 SP10 LinuxAMD64 1CDSynopsys Astro IU vZ-2007.03 SP10 Linux 1CDSynopsys Astro IU vZ-2007.03 SP9 SUSE32 1CDSynopsys Astro Rail vZ-2007.03 SP7 Linux 1CD(一个全面的功耗完整性分析和实现工具)Synopsys.Astro-rail vZ-2007.03 SP7 LinuxAMD64 1CDSynopsys.Astro-rail vZ-2007.03 SP7 Linuxipf 1CDSynopsys Astro Rail vZ-2007.03 SP9 SUSE32 1CDSynopsys Astro Tool vZ-2007.03 SP9 SPARC64 1CDSynopsys Astro Tool vZ-2007.03 SP9 SPARCOS5 1CDSynopsys Astro Tool vZ-2007.03 SP9 SUSE32 1CDSynopsys Astro Tool vZ-2007.03 SP9 SUSE64 1CDSynopsys.Aurora.vX-2006.09.Linux 1CDSynopsys.Cadabra.vB-2008.09 Linux 1CDSynopsys.Cadabra.vB-2008.09 SparcOS5 1CDSynopsys.Cadabra.vB-2008.09 Suse32 1CDSynopsys Certify vE-2011.09 Win32 1CDSynopsys Certify vE-2011.09 Linux 1DVDSynopsys.CoCentric.System.Studio vX-2005.06 SP1 Linux 1CD<mon.Licensing(Scl) v1.2 for WinNT 1CDSynopsys Component vC-2009.06 SP1 Win32 1DVDSynopsys Component vC-2009.06 SP1 Linux 1DVDSynopsys.CoreTools.vB-2008.12.SP2.Linux 1CDSynopsys.CoreSynthesis.v2002.05 Linux 1CDSynopsys CosmosScope vD-2010.03 Win32 1CDSynopsys CosmosScope vB-2008.09 SP1 Linux 1CDSynopsys Cosmosscope vB-2008.09 SP1 Linux64 1CDSynopsys CoCentric System Studio(CSS) vE-2010.12 Linux 1DVDSynopsys CoCentric System Studio(CSS) vE-2010.12 Msvc50 1CDSynopsys CSS vC-2009.03 SP1 SparcOS5 1CDSynopsys CSS vC-2009.03 SP1 GccsparcOS5 1CDSynopsys.Customdesigner vC-2009.06 Linux 1CDSynopsys.Customdesigner vC-2009.06 LinuxAMD64 1CDSynopsys CustomExplorer 2010.06 Linux 1CDSynopsys DC 2000.05 WinALL 1CDSynopsys (Design Complier) Syn vG-2012.06 Linux 1CDSynopsys (Design Complier) Syn vG-2012.06 Linux64 1CDSynopsys Syn vB-2008.09 SP5-2 SUSE32 1CDSynopsys Syn vB-2008.09 SP5-2 SUSE64 1CDSynopsys Syn vB-2008.09 SP5-2 x86sol32 1CDSynopsys Syn vB-2008.09 SP5-2 x86sol64 1CDSynopsys DDR DDR2 PHY TSMC 65GP25 Linux 1CDSynopsys DWC DDR2 SMIC 130G33 Linux 1CDSynopsys Design Compiler 2008.09 Linux 1CDSynopsys.Designware.IP.v2001.08 Linux 1CDSnopysys DesignWare System-Level Library vD-2009.12-SP2 Linux 1DVDSnopysys DesignWare System-Level Library vD-2009.12-SP2 Linux64 1DVDSynopsys DFT Compiler 1 v2006.06 Linux 1CDSynopsys DSP vC-2009.03 SP1 Win32 1CDSynopsys DSP vC-2009.03 SP1 Linux 1CDSynopsys.ESP.vG-2012.06.Linux32_64 3CDSynopsys.ESP.vC-2009.06 Rs6000 1CDSynopsys ESP vC-2009.06 Sparc64 1CDSynopsys ESP vC-2009.06 SparcOS5 1CDSynopsys ESP vC-2009.06 SUS32 1CDSynopsys ESP vC-2009.06 SUS64 1CDSynopsys ESP vC-SOL32 1CDSynopsys ESP vC-SOL64 1CDSynopsys Formality.vE-2010.12 SP2 Linux 1CDSynopsys Formality.vC-2009.06 LinuxAMD64 1CD Synopsys Fpga Synthesis vF-2012.03 Windows 1CDSynopsys Fpga Synthesis vF-2012.03 Linux 1DVDSynopsys FpGA Compiler II v3.8 1CDSynopsys.FPGA Express.V3.6.1.6817.Winall 1CDSynopsys.FPGA.Express.Xilinx.Edition.V3.6.1 1CDSynopsys Hercules vB-2008.09 Linux 1CDSynopsys Hercules vB-2008.09 LinuxAMD64 1CD Synphony HLS vD-CDSynphony HLS vD-2009.12 Linux 1CDSynopsys Hsimplus vC-2009.06 WinALL 1CD(高性能的晶体管级仿真器)Synopsys Hsimplus vE-2010.12 SP1 Linux 1DVDSynopsys Hsimplus vC-2009.06 LinuxAMD64 1CDSynopsys Hsimplus vC-2009.06 Sparc64 1CDSynopsys Hsimplus vC-2009.06 SparcOS5 1CDSynopsys Hsimplus vC-2009.06 SUS32 1CDSynopsys Hsimplus vC-2009.06 SUS64 1CDSynopsys Hsimplus vC-SOL32 1CDSynopsys Hsimplus vC-SOL64 1CDSynopsys Hspice.vF-2011.09 SP1 WinALL 1CD(完全安装版,高精确度的模拟电路仿真软件)Synopsys Hspice.vE-2010.12.Linux 1CDSynopsys Hspice.vE-2010.12.LinuxAMD64 1CDSynopsys Hspice vC-2009.03 SP1 SparcOS5 1CDSynopsys Hspice vY-2006.09.SP1 Doc 1CDSynopsys IC Compiler vF-2011.09 SP3 Linux 1CDSynopsys IC Compiler vF-2011.09 SP3 LinuxAMD64 1CDSynopsys IC WorkBench(ICWB)vV-2004 Solaris 1CD(高速版图设计可视化和光刻分析)Synopsys Ident vC-2009.06 SP2 Win32 1CDSynopsys Ident vC-2009.06 SP2 Linux 1CDSynopsys IDQ vC-2009.06 SP3 Linux 1CDSynopsys IDQ vC-2009.06 SP3 LinuxAMD64 1CDSynopsys IDQ vC-2009.06 SP1 SUS32 1CDSynopsys IDQ vC-2009.06 SP1 SUS64 1CDSynopsys IDQ vC-2009.06 SP1 x86SOL32 1CDSynopsys IDQ vC-2009.06 SP1 x86SOL64 1CDSynopsys Innovator v2009.12 SP1 Win32 1CDSynopsys ISE TCAD v10.0 Linux 2CD(半导体元件制造与模拟软件)Synopsys Jupiter vY-2006.06 SP1 Linux 1CDSynopsys.Jupiterxt vZ-2007.03 SP10 Linux 1CDSynopsys LEDA vD-2010.03 Linux 1CDSynopsys LEDA vB-2008.06 LinuxAMD64 1CDSynopsys ldentify vE-2011.03 Win32 1CDSynopsys ldentify vE-2011.03 Linux 1CDSynopsys Liberty NCX vC-2009.06 SP1 Linux 1CDSynopsys Liberty NCX vC-2009.06 SP1 LinuxAMD64 1CDSynopsys Liberty NCX vC-2009.06 SP1 Sparc64 1CDSynopsys Liberty NCX vC-2009.06 SP1 SparcOS5 1CDSynopsys Liberty NCX vC-2009.06 SP1 SUS32 1CDSynopsys Liberty NCX vC-2009.06 SP1 SUS64 1CDSynopsys Liberty NCX vC-2009.06 SP1 x86SOL32 1CDSynopsys Liberty NCX vC-2009.06 SP1 x86SOL64 1CDSynopsys NCX vE-2010.12 SP3 Linux64 1CDSynopsys Magellan vB-2008.09 Linux 1DVDSynopsys Magellan vB-2008.09 LinuxAMD64 1DVDSynopsys.Mempro.v2001.11.For.NT 1CDSynopsys MW vB-2008.09 SP4 Linux 1CDSynopsys MW vA-2007.12 SP5 LinuxAMD64 1CDSynopsys NanoSim tool vC-2009.06 Linux 1CDSynopsys NanoSim tool vC-2009.06 LinuxAMD64 1CDSynopsys.NanoSim.vB-2008.09.Sparc64 1CDSynopsys.NanoSim.vB-2008.09.SparcOS5 1CDSynopsys MVtools vB-2008.12 Linux 1CDSynopsys Ncx vB-2008.12 Linux 1CDSynopsys NS Hsim XA vD-2010.03 Linux 1DVDSynopsys NS Hsim XA vC-2010.03 LinuxAMD64 1DVDSynopsys NS Hsim XA vC-2009.06 SparcOS5 1CDSynopsys NS Hsim XA vC-2009.06 SUS32 1CDSynopsys NS Hsim XA vC-2009.06 SUS64 1CDSynopsys NS Hsim XA vC-SOL32 1CDSynopsys NS Hsim XA vC-SOL64 1CDSynopsys NS Hsim XA vC-2009.06Sparc64 1CDSynopsys.NT.vC-2009.06.Linux 1CDSynopsys PCI-X v2.0 1CDSynopsys PP vV-2003.12 SP1 Linux 1CDSynopsys.PrimePower vY-2006.06 Linux 1CDSynopsys Primerail vA-2008.12.SP1 Linux 1CDSynopsys primerail vD-2010.06 SP1 LinuxIA32 1CDSynopsys primerail vB-2008.12 SP1 LinuxAMD64 1CDSynopsys PrimeTime
for winNT 1CD(静态时序分析工具)Synopsys Primetime vD-2009.12 SP3 Linux 1CDSynopsys Primetime vD-2009.12 SP3 LinuxAMD64 1CDSynopsys.PTS.vF-2011.06.Linux 1CDSynopsys PTS vD-2010.06 LinuxAMD64 1CDSynopsys PWA tool vD-2009.12 Win32 1CDSynopsys PWA tool vD-2009.12 Linux64 1CDSynopsys Ranxt vC-2009.06 SP1 Linux 1CDSynopsys Ranxt vC-2009.06 SP1 LinuxAMD64 1CDSynopsys Ranxt vC-2009.06 SP1 Sparc64 1CDSynopsys Ranxt vC-2009.06 SP1 SparcOS5 1CDSynopsys Ranxt vC-2009.06 SP1 SUS32 1CDSynopsys Ranxt vC-2009.06 SP1 SUS64 1CDSynopsys Ranxt vC-2009.06 SP1 x86SOL32 1CDSynopsys Ranxt vC-2009.06 SP1 x86SOL64 1CDSynopsys Saber vE-2011.03 WinALL 2DVD(不同的工程领域--水利、电气、电子及机械等进行
物理作用仿真的软件,也可作为信号流算法软件)Synopsys SaberRD vD-2011.03.Win32 2DVDSynopsys Saber vC-2009.03 Linux 1DVDSynopsys SaberHDL Y-2006.06 WinALL 1CDSynopsys Simif vC-2009.09.SP1. Linux 1CDSynopsys Simif vB-2008.09 Sparc64 1CDSynopsys Simif vB-2008.09 SparcOS5 1CDSynopsys SmartModel Library v2009.06a Linux 1CDSynopsys SmartModel Library v2009.06a Linux64 1CDSynopsys.Sold.v2009.03.Linux 1CDSynopsys SPW vE-2010.12 Win32 1CDSynopsys SPW vE-2010.12 Linux 1CDSynopsys ssd vA-2007.09 Linux 1DVDSynopsys Starrc vD-2010.06 Linux 1CDSynopsys StarRCXT vD-2009.12 LinuxAMD64 1CDSynopsys Spice Explorer 2009.09 WinALL 1CDSynopsys SpiceExplore vD-2010.06 Linux 1CDSynopsys SX-a2008.03 SP1 Linux 1CDSynopsys Synthesis Tools tool vD-2010.03 Linux 1CDSynopsys Synthesis Tools tool vD-2010.03 LinuxAMD64 1CDSynopsys TCAD Sentaurus vD-2010.03.Linux 1DVDSynopsys Tcad Sentaurus vD-2010.03.LinuxAMD64 1DVDSynopsys Tcad Taurus Medici vD-2010.03 Linux 1CDSynopsys Tcad Taurus MD vC-2009.06 LinuxAMD64 1CDSynopsys Tcad Taurus Tsuprem4 vD-2010.03 Linux 1CDSynopsys Tcad Taurus TS4 vC-2009.06 LinuxAMD64 1CDSynopsys TX vC-2010.03 SP2 Linux 1CDSynopsys TX vC-2009.06 SP3 LinuxAMD64 1CDSynopsys TX vC-2009.06 SP1 SUS32 1CDSynopsys TX vC-2009.06 SP1 SUS64 1CDSynopsys TX vC-2009.06 SP1 x86SOL32 1CDSynopsys TX vC-2009.06 SP1 x86SOL64 1CDSynopsys TXS vC-2009.06 SP3 Linux 1CDSynopsys TXS vC-2009.06 SP3 LinuxAMD64 1CDSynopsys TXS vC-2009.06 SP1 SUS32 1CDSynopsys TXS vC-2009.06 SP1 SUS64 1CDSynopsys TXS vC-2009.06 SP1 x86SOL32 1CDSynopsys TXS vC-2009.06 SP1 x86SOL64 1CDSynthesis Tools tool vZ-2007.03 SP1 Linux 1CDSentaurus vX-2005.10 SP1 Linux 1CDHspice 2005.09 英文用户手册Hspice 语法手册  Synopsys Star-HSpice v2006 03 SP1 1CD(电路仿真软件)Synopsys.Star-Rcxt vB-2008.12 SP2 Linux 1CDSynopsys.Star-Rcxt vB-2008.12 SP2 LinuxAMD64 1CDsynopsys vera vD-2009.12 Linux 1CDSynopsys Vera v6.3.10 solaris 1CD Synopsys.VCS.v6.0.1.WinNT_2k 1CDSynopsys VCS vE-2011.03 Linux 1DVDSynopsys VCS vD-2010.06 LinuxAMD64 1CDSynopsys VCS-MX vE-2011.03 Linux 2CDSynopsys VCS-MX vE-2011.03 Linux64 2CDSynopsys.2001.08.Core.Synthesis.for.linux 1CD
 Synplicity Amplify v3.7 1CD(第一款为FPGA设计的物理综合产品)SynpliCity Identify RTL Debugger v2.0.1 1CD
Synopsys Synplify vF-2012.03 Win32 1DVDSynopsys Synplify vF-2012.03 Linux32_64 2DVDSynplify Fpga vF-2010.09 Linux 2CDSynplify DSP v3.6 1CDSynplify.Premier.v9.61 Linux 1CDSynplify.Premier.v9.6.2.with.Identify.v3.02 1CD(针对复杂可编程逻辑设计的功能强大的FPGA 综合工具,独有的特性和极快的运算速
度使它成为业界的最流行的也是最强力的综合工具,而且还附加了调试于优化功能)Synplify Pro v9.2.2 Linux 1CD Synplify v8.5 with Identify v2.3.2 Linux 1CDSynplify ASIC v5.02 for win & linux & sun & unix 1CDTaurus Medici vV-2003.12 linux 1CDVirtio VPAI 2.0 Platform 1CD Bosch Rexroth Group产品:Bosch.Rexroth.Indraworks.v7.04-ISO 3CD(是一个简单易操作的工程环境,用于所有力士乐电子控制系统及驱动系统)Bosch.Rexroth.WinStudio.v6.5.WinNT_2K 1CD(提供了制造执行系统(MES)和用于监控及性能监视功能的数据采集与监视控制系统(SCADA) Intercept产品:Intercept Pantheon 6.0.04B Win32 1CD(PCB/Hybrid/RF设计辅助软件)Intercept Pantheon 6.0.04B Linux 1CDIntercept Pantheon 6.0.04B Solars 1CD SANDWORK DESIGN INC.产品:Design Spice Explorer vCDDesign Spice Explorer v2003.1 Linux 1CD Tanner产品:Tanner.L-EDIT.pro.with.LVS.v10.0-ISO
1CD(IC设计验证系统软件)Tanner.S-EDIT.v7.03 1CD(电路框架的制作和编辑工具)Tanner.T-SPICE.Pro.v8.1(大规模模拟和混合信号IC的精确高效分析模拟软件)Tanner Tools v15.01 1CD(集成电路设计环境) AMTECH产品:Amtech.v2006-ISO 1CD(强大的电气软件套装包括了电气设计、测试、检验、协同工作和快速单线缆计算等功能)Amtech.ProDesign.NEC.v9.2.5-ISO 1CD(符合NEC及IEEEE标准设计与分析电子系统的功能强大的软件系统) CIM-TEAM Inc.产品:CIM-Team DDS-C R12 1CD(设计车间,机械建筑,采矿业以及发电厂的工程设计程序)E3.Series.1.1000.0.Win32-ISO 1DVD(英文版)E3.Series.1.1000.0.Win64-ISO 1DVDE3.Series 1.1010.0 Win32_ 64 Update Only 1CD VANDERPLAATS R&D产品:Valor Genesis v9.7 1CDValor Genesis v9.2c 1CDValor Genesisa WinNT4_2K 1CDValor GeneSIS 2000 中文教程 Valor Enterprise .4 1CD(是为 OEMs 和 PCB 设计者开发的DFM软件。其实际上是一个虚拟的生产系统,
可以让OEM厂商模拟整个生产过程:从设计到生产的整个流程。可以优化设计,
减少修改次数,让你从快速的市场反应中获益,并且提高产品质量)Valor EnterPrise .4 Docs Addon 1CD CADENAS产品:Cadence.AMS.Methodology.Kit.6.12.Linux 7CDCadence ANLS v07.10.003 Linux 1CDCadence ASSURA v6.15.04.12.017 Linux 2DVDCadence.Assura v4.10.002 Linux 5CDCadence Assura v4.10.006 Update Linux 3CDCadence Assura v4.12.004.615 Update Linux 4CDCadence Assura v3.13 for IC4.46 Linux-ISO 2CD(新一代深亚微米模拟和混合IC版图验证、寄生参数提取以及分辩率增强可制造性解决方案)Cadence ADW v16.50.014 Linux 1CDCadence SPB/OrCAD (Allegro SPB) v16.5-ISO 5CD(电子电路设计软件)Cadence SPB/OrCAD (Allegro SPB) v16.50.026.Update.Only-ISO 1CDCadence SPB/OrCAD (Allegro SPB) v16.3-ISO 1DVD
Cadence SPB/OrCAD (Allegro SPB) 16.30.030 Update Only 1CDCadence SPB/OrCAD (Allegro SPB) v16.30.019 Linux 3CDCadence OrCAD Capture CIS 9 实用教程 1CDCadence OrCAD
问题集锦 1CD Cadence.Allegro.PCB.Design.v16.2-ISO 3CD(专业的电路板的设计软件,适合于一个全新项目的PCB 设计)Cadence.Allegro.PCB.v16.20.014 Update Only 1CDCadence.BSIMProPlus.v5.1 1CD(提供了全套的解决方案,包括采用Virtuoso器件模型(BSIMProPlus)来提取
和调整硅的可靠性模型以及用UltraSim全芯片模拟器进行的全芯片可靠性模拟和分析)Cadence C-to-Silicon Compiler (CtoS) Product v11.10 Linux 2CDCadence Ccopt 2012 Linux 1CDCadence Conformal v9.10.100 Linux 1CDCadence Conformal v8.1 Linux64 1CDCadense Conformal LEC v10.1 Linux 1CDCadence CTOS v12.10.100 Linux 1CDCadence.CTS v9.1 Linux 1CDCadence EDI v11.11.001 Linux 2DVD(即SOC-Encounter,完整的综合布局布线系统)Cadence EMGR v08.02.001 Linux 1CDCadence Encounter RTL Compiler v9.10.100 Linux 1CDCadence Encounter timing system(ETS) v11.11.001 Linux 2DVDCadence Encounter Test(ET) v11.10.102 Linux 1DVDCadence EXT v10.13.065 Linux 1CDCadence Kitsocv v08.20.003 Linux 3CDCadence KMC v04.10.000 Linux 1CDCadence KQV v05.13.002 Linux 1CDCadence PAS v3.1 Linux 1CD(PDK自动化系统)Cadence PSD 15.1-ISO 3CD(EDA开发工具包,它提供了从原理图设计输入、分析,PCB设计、PCB制造文件输出等一整套工具)Cadence PVE v11.11.458 Linux 1DVDCadence PVS v10.12.155 Linux 1DVDCadence Physical Verification System(PVS) v10.1 Linux 1CDCadence Physical Verification System(PVS) v10.12.155 Update Only Linux 1DVDCadence SOCKIT v08.02.001 Linux 1CDCadence RFKIT v8.1 Linux 4CDCadence RFSIPKT v07.02.001 Linux 1CDCadence.SPB.v16.3.Linux 5CDCadence SPMN v08.02.001 Linux 1CDCadence TSI v6.1 Linux 2CDCadence MMSIM v11.10.445 Linux 2DVDCadence MMsim v6.2 linux 7CDCadence MMsim v7.11.071 Linux 6CDCadence MMSim v10.10.204 Linux 3CDCadence MMSIM v10.11.017 Update Linux 1DVDCadence MVS v10.12.341 Linux 1DVDCadence NEOCKT-03.04.011 Linux 1CDCadence IC Craftsman v11.241 1CDCadence IC Design Virtuoso v6.15 Linux 7CDCadence IC5141 ISR Linux 4CD(IC5141最新升级文件)Cadence IFV v8.20.012 Linux 2CDCadence INCISIV v12.10.001 Linux 5DVDCadence.Incisive.Enterprise.Simulator(IES) v8.2 Linux 1CDCadence InCyte Chip Estimator v03.04.008 WinALL 1CDCadence.Logic.Design.and.VerifiCation(LDV).v5.1-ISO 1CD(逻辑设计与验证)Cadence.Logic.Design.and.VerifiCation(LDV).v5.1.Linux 2CDCadence Low Power Methodology Kit v08.02.001 Linux 3CDCadence iScape v4.11 Linux 1CDCadence IUS v5.4 Win32-ISO 1CDCadence Incisive Unified Simulator(IUS) v10.2 Linux 1DVDCadence.Allegro.v13.6-ISO 1CD(系统互联设计平台,此平台具有缩短PCB设计周期, 显著提高生产效率的特点)Cadence SEV v4.1 Linux 1CDCadence SPW v4.9 Linux 1CDCadence VSDE v4.1 ISR17 Linux 1CDCadence Generic PDK090 v3.7 Linux 1CDCadence Generic PDKCadence CONFRML v11.10.320 Linux 1CDCadence VIPCAT v11.30.012 Linux 2DVDCadence ZYNQVP v11.10.055 Linux 1CDCadence.IC设计.全资料教材 1CDAllegro 14.2 中文教材Allegro 15.X学习与使用(中文)Cadence Allegro简易手册(中文版)Cadence 使用参考手册(中文版)CADence PCB设计中文教程 ULTRA Librarian Gold v3.0.1034 1CD(程序库设计工具)Conformal Constraint Designer v6.1 1CD(在给定设计问题下确保有效时序约束的产品)Cadence.Specctra.Router.v10.2 1CD(功能强大的PCB无网格自动布线器)Cadence.Specman.Elite.v5.0.Linux-ISO 1CD Laker v2011.06 Linux32 1CDLaker v2011.06 Linux64 1CDLaker
Linux 1CDLaker
LinuxAMD64 1CDLaker 32 v3 REDHAT9 1CDLaker 31 v3p6a REDHAT72 1CD Laker 32 v3p6 SOL7 1CDLaker 32 v3p6 SOLARIS2 1CDLaker
Symbol 1CDLaker
Document 1CDLaker 32 v3p6 LabBookLaker Document 1CD(适用3.0版和更高版的用户手册)Laker AMS v6.1p4 WinALL 1CD(电路图设计与调试环境)Laker AMS v6.1p4 Linux ADP 21 v3p5 Linux 1CDADP 21 v3p5 LinuxAMD64 1CDADP 21 v3p5 REDHAT9 1CDADP 21 v3p5 SOL7 1CDADP 21 v3p5 SOLARIS2 1CDADP 21 v3p5 symbol 1CDADP 21 v3p5 Document 1CD Specctra ShapeBased Automation Software v15.1-ISO 1CD(基于层对交互/自动布线的功能)OVPsim v 1CDPSC Design Kit 3.3 Linux 1CDPCB Router Specctra v16.2 1CDPspice v9.2 1CDPspice 使用指南(中文) NucleusUDB.v4.3(强大的、基于GUI的嵌入式应用源码级调试器,具有标准的内部开发结构,适用于Nucleus软件部件支持的大多数处理器)Number One Systems Easy-PC PCB v15.03.0 Full 1CDRimu.PCB.v1.07.WinALL 1CD(行业印刷电路板(PCB)的设计软件) Intusoft产品:ICAP/4 v8.1.6 for WinAll 1CD(电源仿真软件)Intusoft Magnetics Designer v4.1.0 Build 350 1CD Aegis产品:Aegis.CircuitCAM.Suite.v6.0.2.2 1CD(生成设备程式、建模软件)BoardMaster LPKF v5.1 Full 1CDLPKF CircuitCAM 6.1.5 build 1159 1CD(一个高端成熟的电路板生产CAM软件)Circuitcam v5.0使用手册(中文) Aucotec产品:Elcad.Aucoplan v7.5 Multilingual-ISO 1CD(ECAE系统,电子工程软件) Altium产品:Altium Designer 10 Update 20 build 10. Win32_64-ISO 1DVD Altium Designer 10.890.23450-ISO 1DVDAltium Designer v10.818.23272 with All Plugins, Examples, Libraries 1DVD(9.61 G)Altium Designer Winter 10 v10.600.22648 Win64-ISO 2DVD(电子产品开发系统)Altium Protel DXP v7.2.92.With.SP3 WinNT-ISO 1CD Protel DXP Altium v7.0 WinNT_2000_XP-ISO
1CDProtel Dxp 2004 sp2-ISO( 完全安装版)Protel DXP 2004 Sp4 1CDProtel DXP 2004 Sp4 IntegratedLibraries 1CDProtel DXP 2004 Sp3 集成库 1CDProtel DXP 2004汉化及工具Protel 98-ISO 1CD (简体中文破解版)Protel 99SE Sp6 1CD(简体中文版,含第二版)Protel 99 正式版 1CDProtel 99 SE 的入门说明书(中文版) Protel DXP Fpgalibraries 1CDProtel DXP Trial Version 1CDProtel DXP 电路设计及应用教程Protel DXP 培训教材(中文) P-CAD v2006.With.SP1-ISO 1CD(印制线路板设计软件)P-CAD v2006.SP2 1CDSimetrx/Simpis v4.2-ISO 1CD(混合信号电路仿真软件) Tasking C166/ST10 v7.5 r2-ISO 1CD(嵌入式软件开发工具套件)Tasking C166 v7.5 r2 1CDTasking C FOR 196_296 v6.0 R1 1CDTasking Dsp Suite v2.0r0 For Motorola Dsp5600X 1CD FAMIC TECH INC产品:Automation Studio v5.0 1CD(电路设计、模拟和项目文件软件) NEC产品:EMC Studio v7.0 1CD(电磁兼容分析软件)NEC EMIStream v4.5001 1CD(EMC防真软件) Remcom, Inc.产品:XFDTD.Bio-Pro.v6.3.8.4.Win2k_XP 1CD(高频电磁分析模拟软体)XFDTD v7.0 1CD(美国REMCOM公司开发的一款基于电磁数值计算方法FDTD的全波三维电磁仿真软件)XGTD v2.1 1CD(电磁仿真和分析软件) CST产品:CST.Studio.Suite.v2012.With.SP4.WinALL 1DVDCST.Studio.Suite.v2011.WinALL & Linux.DVD-ISO 1DVD(完整版-全模块电磁仿真软件)CST Studio Suite 2011 SP5 Update Only 1CDCST Microwave Studio v5.1.3-ISO 1CD(电磁仿真)CST Design Studio v3.0 1CD(与CST Microwave Studio配套使用的设计数据/流程管理工具,用来支持与其他工具
交换数据以及分割设计数据和程序库化等作业)CST.MicroStripes. 1CDCST.MicroStripes..x64 1CDCST Em Studio v2.0 1CD(低频电磁场的分析和设计软件)CST Mafia v4.1 1CD APLAC SOLUTIONS产品:PCselCAD v10.03 中文版-ISO 1CD(带正版数据库,机电-电气CAD绘图软件)
Pcschematic.Automation.v14.0.2.1.Win32_64 1CD(专业电气绘图软件)PCschematic ELautomation v9.0.6 正式免狗中文版 1CD(带正版数据库压缩包) PCschematic ELautomation v9.0 1CD(英文版)PCschematic (施耐德)元件库PCschematic 完整教程PL7 Pro v4.4 1CDSchneider-Electric Unity Pro XL v4.0-ISO 1CD(含简体中文版)Schneider Electric Vijeo Desiner v4.6-ISO 1CD(含简体中文版) IAR产品:IAR.Embedded.Workbench.for..1.Full 1CDIAR Embedded Workbench for 8051 Update v7.60.7 1CDIAR.Embedded.Workbench.for.8051.MSC-51.v7.20D(嵌入式开发环境,包括编辑、编译、连接、调试软件,主要支持8到16位处理器)IAR.EW430.320A 1CD(嵌入式工作台) IAR.Embedded.Workbench.for.68HC12.v2.44A IAR Embedded Workbench for ARM 6.40.2.3392-ISO 1DVDIAR.Embedded.Workbench.for.Atmel.AVR.v5.50.1 Full 1CDIAR.Embedded.Workbench.for.Atmel.AVR32.v4.30F-ISOIAR.Embedded.Workbench.for.Atmel.EWAVR.v4.20C.FullIAR.Embedded.Workbench.for.Arm.Ewarm.v3.11A-ISO IAR Embedded Workbench for AVR v6.11.1.Full 1CDIAR Embedded Workbench for AVR32 v3.31.3 1CDIAR.Embedded.Workbench.for.CR16C.v2.10AIAR.Embedded.Workbench.for.Dallas.Semiconductor.Maxim.MAXQ.v1.13CIAR.Embedded.Workbench.for.Dspic.v1.30A(整套的嵌入式开发环境,包括编辑、编译、连接、调试软件,主要支持8到16位处理器)IAR Embedded Workbench for Freescale Coldfire v1.23.1 1CDIAR.Embedded.Workbench.for.Freescale.HCS12.v3.20.2 1CD IAR Embedded Workbench for Freescale HCS08 v1.20.2 1CDIAR.Embedded.Workbench.for.H8.v1.53IIAR.Embedded.Workbench.for.MAXQ.v2.30.1IAR.Embedded.Workbench.for.MCS-51.v7.21AIAR.Embedded.Workbench.for.Mitsubishi.740.v2.16AIAR.Embedded.Workbench.for.MK5.v1.24AIAR.Embedded.Workbench.for.MSP430.v5.10IAR.Embedded.Workbench.for.NEC.v850.v3.30IAR.Embedded.Workbench.for.NEC.78K.v4.40AIAR Embedded Workbench for National Semiconductor CR16C v3.10.1 1CDIAR Embedded Workbench for Microchip PIC18 v3.10 IAR.Embedded.Workbench.for.PICmicro.v2.21AIAR Embedded Workbench for Renesas 32C v3.30.1 1CDIAR Embedded Workbench for Renesas 78K v4.71.2 1CDIAR.Embedded.Workbench.for.Renesas.H8.v2.10AIAR.Embedded.Workbench.for.Renesas.M16C/R8C.v3.50.5IAR.Embedded.Workbench.Pro.for.Renesas.Mitsubishi.M16C.EWPM16C.v2.12A.FULLIAR.Embedded.Workbench.for.Renesas.M32C.v3.30.1 1CDIAR.Embedded.Workbench.Pro.for.Renesas.Mitsubishi.M32C.v2.11A.FULLIAR Embedded Workbench for Renesas R32C v1.31.1 1CDIAR Embedded Workbench for Renesas RX v2.30.2 1CDIAR.Embedded.Workbench.for.Samsung.SAM8.v2.21A.FULLIAR Embedded Workbench for STMicroelectronics STM8 1.30.2 1CDIAR Embedded Workbench for Renesas V850 v3.71.1 1CDIAR Embedded Workbench for STM8 v1.30.1 1CDIAR.Embedded.Workbench.for.TI.MSP430.v3.41A
IAR.Embedded.Workbench.for.TI.MSP430.EW430.v3.10A.FULLIAR Embedded Workbench for ZiLOG eZ80 1.34A 1CD(C/C++编译器和调试器)IAR.Embedded.Workbench.for.ZiLOG.Z80.v4.06A FullIAR Embedded Workbench Limited Edition for 6502IAR.MakeApp.for.Buletooch.Protocol.Stack.Embedded.Edition.v1.40A.FULLIAR.Embedded.Workbench.AVR.v2.27BIAR.PowerPac.for.ARM.v2.32.2 1CD(具有丰富功能的实时嵌入式操作系统(RTOS),并包含一个高性能的文件管理系统)
IAR PowerPac Base for ARM v2.40.2-ISO 1CDIAR PowerPac GUI Basic for ARM v2.40.2-ISO 1CDIAR PowerPac GUI Professional for ARM v2.40.2-ISO 1CDIAR PowerPac TCP/IP Base for ARM v2.40.2-ISO 1CDIAR PowerPac USB Device for ARM v2.40.2-ISO 1CDIAR PowerPac USB Host for ARM v2.40.2-ISO 1CDIAR AVR C-SPY ROM-Monitor Debugger v5.40.1 1CDIAR VisualState v6.3.2 1CD(图形化状态机设计工具, 它能为嵌入式系统产生非常紧凑的c代码)IAR中文使用手册FlowCode for PIC v4.3.6.61 1CDFlowcode for AVR v4.3.6.61 1CDRenesas.Nc30WA.v5.30.R02.Final RA产品:Rowley.Associates.CrossWorks.for.ARM.v1.5.Build.2 1CDRowley.Associates.CrossWorks.for.AVR.v2.0 1CDRowley.Associates.CrossWorks.for.MAXQ.v2.0 1CDRowley.Associates.CrossWorks.for.MSP430.v2.0 1CD NASSDA CORP.产品:Nassda.Critic.v5.0.01.2005 1CD(一款EDA工具,这是Windows版本)Nassda.Critic.v5.0.01.2005.Linux 1CD(这是Linux版本)Nassda.Hanex.v5.0.01.2005 1CD(业界领先的电路级时序与串扰分析工具,这是Windows版本)Nassda.Hanex.v5.0.01.2005.Linux 1CD(这是Linux版本)Nassda.Hsim.v5.0.01.2005 1CD(全球第一个满足深亚微米设计需求的全电路、层次化的晶体管级仿真器,这是Windows版本)Nassda.Hsim.v5.0.01.2005.Linux 1CD(这是Linux版本) TimingDesigner.v9.2 1CD(时域分析和图示工具)TimingDesigner.v9.2 Linux 1CDTimingDesigner.v9.2 Solaris 1CD Precience产品:PCB Navigator 5.1 1CD SCHMID & PARTNER ENGINEERING AG产品:SemCAD v13.4 1CD(SEMCAD 为复杂环境的近场分析提供了高端电磁模拟平台,可为电磁场的IIS/IT'IS 研究提供支持和帮助,
同时可帮助在芯片级的EMC/EMI和EM 模拟,天线设计等,SEMCAD用户界面友好、强大(基于ACIS3D模型工具包) Syncopation.Software产品:DPL.Fault.Tree.v6.03.03 1CD(人工智能分枝决策树技术应用软件,核电站的安全保证分析,
卫星发射装置的可靠性分析, 计算机网络的安全性分析等)DPL.Professional.v6.03.02 1CD(人工智能分枝决策树技术应用软件,增加更多的功能与分析模块, 如策略树建模, 时间系列分析等) Telemagic.AB产品:Telelogic.Rhapsody.v7.4.Windows-ISO 1CD(嵌入式仿真开发)Telelogic.Rhapsody.v7.2.Linux-ISO 1CDTelelogic.Rhapsody.v7.2.Documentation-ISO1CDTelelogic.Doors.v7.1 1CD(需求管理软件)Telelogic.Rhapsody.Adapters.v7.1.WiNNT2K 1CDTelelogic.Rhapsody.Cygwin.Adapter.v7.0 1CDTelelogic.Rhapsody.Gateway.v1.4.WiNNT2K 1CDTelelogic.Rhapsody.Integrity.Adapter.v7.0 1CDTelelogic.Rhapsody.Nucleus.C.Adapter.v7.0 1CDTelelogic.Rhapsody.Nucleus.C.Plus.Plus.Adapter.v7.0 1CDTelelogic.Rhapsody.Reporter.Plus.v7.0 1CDTelelogic.Rhapsody.Sodius.Toolkit.v7.1.WiNNT2K 1CDTelelogic.Rhapsody.VxWorks.Adapter.v7.0 1CDSodius.Rhapsody.RulesComposer.v7.0.24 1CDTelelogic.TAU.Generation2.v2.4-ISO 1CD(可视化系统、软件开发和测试工具环境)Telelogic.TAU.Generation2.v2.4.SP1-ISO 1CDTelelogic.Rhapsody.OSC.Tools.v7.1.WiNNT2K 1CDOSC.Automatic.Test.Generation.v3.1.356.for.Rhapsody.7.0 1CDOSCTest.Conductor.v1.7.421.for.Rhapsody.7.0 1CDSodius.XMI.toolkit.for.Rhapsody.v7.0.13 1CDI-Logix.Statemate.v4.1-ISO 1CD(面向功能需求的系统级自动设计软件包)
VSI产品:VisSim.v7.0B 1CD(可为复杂的控制系统、通讯系统进行建模仿真,可为DSP及嵌入式系统进行样机原型快速开发)VisSim.C-Code.v6.0 1CD(自动将 VisSim 的简图翻译成被高度优化的符合 ANSI 的 C 语言标准的程序源代码)<m.v6.0A 1CD(卫星、终端等的通信分析软件) VisSim.Embedded.Controls.Developer.v6.0 1CD(为速成原型法和内嵌控制系统提供一个开发环境)VisSim.ECD.for.TI.Ce.Win9xNT2K 1CD(用于TI C2000系列DSP快速样机开发,它可以为TI公司的DSP
家族中的C2000系列快速开发运动控制系统板的样机原型)VisSim.Neural-Net.v6.0 1CD(优势在于非线性系统的识别方面,问题的诊断,决策系统,预测系统,和其他的的一些特殊环境)VisSim.Real-TimePRO.v6.0 1CD(提供给用户依靠“实”处理器或者控制器,直接连接 VisSim 系统模型)QQ: Email:kefu007@ Celoxica产品:piler.v1.3 1CD(Agility C编译器)piler.v1.3.Linux.Debian 1CDCeloxica.DK.Design.Suite.and.PDK.v5.0.SP5 1CD(高阶设计方法) Concent Engineering产品:SpiceVision Pro v2.3.6 1CD(很流行的通用型积体电路模拟程序,可处理非线性直流,非常线暂态等功能) SpiceVision v2.1 WinALL 1CDSpice Vision 2.1 Linux 1CD 单片机软件:Dolphin.Integration.Smash.v5.18.1 1CD(混合信号兼顾多层次模拟软件,能完全符合混合类比与逻辑信号电路的需求)Dolphin.Integration.SMASH.v5.17.0.Linux 1CDDolphin.Smash.v5.12.2.Solaris 1CDDolphin.Integration.SoC.GDS.v6.10.0 1CD(片上系统图形显示工具)Dolphin Soc.GDS v6.30 for Linux 1CDDolphin.SoC.GDS.v6.30.LINUX.x64 1CDDolphin.SoC.GDS.v6.30.Solaris 1CDDolphin.SoC.GDS.v6.30.Solaris64 1CDDolphin Soc.GDS v5.6 for HP-UX 1CD <panion.v2.5.R3 1CD(用来获得对你的HDL设计的一个很好的总揽,并将其保持的一个独一无二的工具)<panion.v2.4.R3.Linux 1CDTransLogic HDL ComPanion v1.2 R2 Solaris 1CDHDL.Design.Entry.EASE.v7.4.R8 for Windows 1CD(集成电路芯片设计工具)HDL.Design.Entry.EASE.v7.4.R8.for.Linux 1CDHDL.Entry.Ease.v6.0.R11.SOLARIS 1CDHDL.Works.IO.Checker.v2.1.R3.for.Windows 1CDHDL.Works.IO.Checker.v2.1.R3.for.Linux 1CDTranslogic HDL Entry Ease and Eale v5.1R9 1CDTranslogic HDL Entry Ease and Eale v4.1.7 Linux 1CDTranslogic Ease v5.2 R10 and Eale v5.2 R8 1CD  Aldec Active-HDL v9.1 1CD(ALDEC公司的Active-HDL是一个开放型的仿真工具)Aldec.Active.HDL.v6.3.VERILOG.Libaraies.Addon 1CDAldec.Active.HDL.v6.3.VHDL.Libaraies.Addon 1CDAldec.Active.HDL.v6.3.Xilinx.Schemetic.Libaraies.Addon 1CD Aldec.Riviera Pro.vCD(业界独特的单内核VHDL/Verilog和EDIF混合仿真器) Aldec.Riviera.v2007.02.Linux 1CDAldec.Riviera.v2007.02.LiNUX64 1CD Aldec.ALINT.vCD(可支援Verilog语法的设计规则检验器)Aldec.ALINT.v2008.02.Linux 1CD CodeWarrior HC08 v3.0 1CDCodeWarrior for HC12 v4.6 1CDCVAVR v1.24.1e 1CDDebugFactory Builder for AM1 Starter KIT松下单片机 1CDDeLaMancha.PULS.v1.1.VSTi 1CDFastAVR v4.0 1CD(以BASIC语言为基础的AVR开发平台)FranklinC51 1CD(51单片机C语言开发环境)FuzzyTECH Pro v5.54 1CD(单片机的模糊控制开发软件) ICCV7 for AVR v7.19 1CDImageCraft.ICCAVR.Professional.v6.31a 1CD(编译器) Keil C51 v.9.50a 1CDKeil.Professional.for.C51.v9.0 1CD(适用于8051单片机及衍生系列如Dallas 390/, Philips 51MX, 及Analog Devices 的MicroConverters)Keil.Professional.for.C166.v6.11 1CD(适用于XC16x, C16x, 及 ST10系列)Keil.Professional.for.C251.v4.53a 1CD(适用于251 Microcontroller微处理机系列)Keil C51 v8.18 1CDKeil MDK-ARM v4.50 1CD(用来开发基于ARM核的系列微控制器的嵌入式应用程序)Keil RL-ARM v4.13 1CD(镶入式单片机实时控制模拟编程开发工具)Keil Software –Cx51 编译器用户手册 中文完整版(403页)Keil uVision2软件中文入门教程 Matcom v4.5 1CDMplab.C18.v3.0 1CD(单片机开发软件)Metrowerks Codewarrior for DSP5 1CDMetrowerks Codewarrior v6.1 for Coldfire 1CDPMA Software BlueControl v2.8 SR3 Multilingual 1CD
PCWH v3.227 1CDGX configuator-DP Ver.500 1CDGX Developer v 8.0 1CD三菱PLC编程软件 Magma Design Automation产品:Magma v Linux 1CDMagma FineSim Pro v2010.08 Linux 1CDMagma Siliconsmart 2010.10 Linux 1CDMagma Talus v1.0.92 Linux32_64 1CD Proteus Labcenter产品:Labcenter.Electronics.Proteus.Pro.v7.10.SP0 1CD(电路分析实物仿真系统) Metrowerks产品:CodeWarrior Development Studio v9.3 1CDCodeWarrior Development Studio v9.3 Addon 1CD WISE Software Solutions, Inc.产品:Wise Software VisualCAM 16.2.10 1CD(电子装配制造中的表面贴装技术应用软件) Mician产品:Mician Microwave Wizard v7.5 Win32_64 1CD(波导设计软件) Tektronix产品:WaveStar.v2.6 1CD(示波器WaveStar软件(WSTRO)是简便的PC与TDS3000B系列直接的接口软件) CoWare, Inc.产品:Coware LisaTek. for WinALL 1CD(嵌入式处理器设计及软件开发工具)Coware LisaTek. for Linux 1CDCoWare.Processor.Designer(PD).vCDCoware processor designer 2010.1 Linux 1CDCoWare.Signal.Processing.Designer(SPD).vCD(信号处理)CoWare SPW 2010 1CDCoWare SPW 5.02-XP 1CD(主要进行以下两点改进。第一是与美国The MathWorks, Inc.的技术运算编程
及解析环境“MATLAB”互联,第二是全面更新GUI(图形用户界面)) ADI产品:Visual DSP v3.50-ISO
1CD(美国模拟器件公司(ADI)发布的DSP开发工具)Visual DSP.PlusPlus.v3.5.for.16.bit.WinALL 1CD QNX产品:QNX.Momentics.Development.Suite.Professional.Edition.v6.3-ISO 1CDQNX Momentics Professional v6.2.1a-ISO 1CDQNX.Neutrino8.v6.2.1.NC-ISO 1CDQNX.Realtime.Platform.v6.10-ISO 1CD ZUKEN产品:Zuken.CR5000.Board.Designer/System.Designer.v12.0-ISO 2DVDZuken CADSTAR v13.0 1CD(基于PC的PCB设计解决方案)Zuken.Cadstar.v12.1.SP 1 1CDZuken Cadstar 3D v5.0 1CDZuken.CadStar.Desktop.Design.v8.0 1CD Zuken CADStar 中文培训手册Zuken CR5000 中文教程 Zuken.Hotstage v4.21 1CDZuken Hot-Stage v4.03 WinNT 1CD 美国AWR产品:AWR.Design.Environment.Vendor.Local.v8.0 1CDAWR Design Environment v10.0 1CD(射频/微波线路设计环境,整合了Microwave Office、Analog Office
Visual System Simulator、Signal Ingegrity工具,将主要用于模拟电路
和射频集成电路(RFIC)的设计、信号仿真、信号一致性检查集成到了一个界面中)AWR.Design.Environment.v8.0.Documentation 1CDAWR.Testwave.for.AWRDE.v2.06.Win32 1CD(须先安装AWR Design Environment v7.5)AWR.Nuhertz.Filter.For.AWRDE.v5.14 1CD Filter Wiz Pro v4.26 1CD(32位系统,滤波器电路设计软件,很好用!)Nuhertz Technologies Filter Solutions .5 1CD(滤波器设计软件)Nuhertz Zmatch v4.0.4 1CD(负荷频率分析软件) 通用有源滤波器uaf42配套设计软件FILTER v4.2  enali产品:Denali.Memory.Modeler.v2.9.24.WINNT 1CD(存储器模型程序)Denali.PureSuite.v3.2.069.Linux32 1CDDenali.PureSuite.v3.2.069.Linux64 1CDDenali.PureSuite.v3.2.055.Linux.IA64 1CD PCB Matrix产品:PCBM LP Provisional v 1CD(原理图和PCB设计库的自动化生成EDA工具)PCBM SymbolWizard Provisional v2.46.03 1CDPCBM SYMWIZ v2.46.03 1CD 加拿大曼尼托巴HVDC研究中心产品:ARM Developer Suite 1.2 1CDARM DS5 with RVCT v5.7-ISO 1CDARM.Firmware.Suite.v1.4-ISO 1CDARM.RealView.Developer.Suite(RVDS).v4.1-ISO 1CD(ARM集成开发工具)ARM SOC Designer v7.1 Linux 1CDARM Software Development Toolkit v 2.51 1CDArm & Mips 源代码 ARM结构和编程(中文书) 2D simpler算法源程序3D simpler算法源程序Abacom sPlan 7.0 1CDAtoll v2.8 1CD(无线规划软件)Apsim 2003 1CDAutoVue.Electro-Mechanical.Pro.v20.0.Win32 1CD(电子与机械工业文档查看、分析软件。内含强大的解决方案,并支持标准的问题跟踪系统) AutoVue.SolidModel.Pro.v19.0.CHS 1CDBluespec.v2009.10B.Linux 1DVDBmp2Pcb v2.05 1CD(图形界面的BMP转PCB软件)Bruker Topspin v3.0 1DVD(核磁共振处理软件)Cadint PCB v4.03 1CDChipsmith v3.8.1 1CD CircuitMaker 2000 1CD(仿真继电器的软件)Circuit.Shop.v2.03.WinALL 1CDCircuit Wizard Education 1.5 1CDCohesion AMS Designer v6.0 1CDCohesion Design Systems v5.11 1CDConcept.Tools.v5.4 Winows & Linux 2CDCopperCAM v 1CD(专业PCB雕刻软件)Crocodile Technology 3D v607 1CDCSiEDA v5.2.6 1CD(先进的电路设计软件)
IMST.EMPIRE.XCcel.v6.00-ISO 1CD(采用FDTD的全三维高频电磁场仿真软件包) MagCAD.v2.3.4.WinALL 1CD(简单实惠的磁场空间分布计算软件。这些磁场可以是由永久磁铁或者非线性线圈形成的区域) E-Tools.E-Studio.Pro.v4.42.029a 1CDElanix SystemView v2006 1CD(信号处理系统模拟与分析工具)Elcut 4.1 1CDElectra Autorouter v2.7 1CDEMIT.Maxwell.v5.0.3.5607 1CD(电磁物理学处理分析解决方案)EMSight v1.54 1CD(电磁仿真器,可以分析高频,射频和微波以及天线电路的特性。 EMSight还可以分析具有无限多层介质片,
无限多个端口,并且介质层之间有互连的过条的任意平面电路)Edison v4.0 1CD(中、英文破解版,电子实验室)ETS4 version 4.0.6 Professional 1CDEWARM-EV v3.40A 1CDWade.Instruments.EZ.Schematics.v2.1.17 1CD(电气图纸设计软件)FAISYN v2.2 1CD(一个滤波器设计软件)Furret.PCB.v2.4 1CDForte Cynthesizer v3.60 Linux 1CDGenesis Frontline v7.1 PCB Designer 1CDGemalto Developer Suite v3.4.2 1CD(无线通讯开发软件)GT Works/GT Designer v3 1.40S-ISO 2CD(触摸屏编程软件)HDL Turbo Writer 6.0e 1CD(VHDL/verilog专用编辑器)Hamic.v2.0.WinAL 1CD(电路计算器,可以计算电路的电阻,电压等)IPC7351 LP Eval v4.20 1CDIX1D.v3.35 1CD(一款1维直流(DC)电阻,诱发多倍性(IP),磁电的(MT)和电磁的地质探测重建软件)KwickFit v5.2 1CDLAVENIR v2001 1CDLattix.LDM.v5.0.5 1CDMicrium μC/Probe v2.5 Build3891 1CDOmninet v6.07 for Windows PCB转SCH软件(即PCB转为原理图) PC|SCHEMATIC Automation 14.02 1CDProton Development Suite v3.5.2.7 1CDRCP.Developer.v5.0.0 1CDRealPic Simulator v1.3.0.0 1CDUC Gui v3.26 1CD V-ELEQ 电气仿真系统1.10 1CDV-ELEQ使用说明书 1CDV-ELEQ视频演示 1CD ParCAM v8.0c 1CDParCAM v7.26d 操作手冊 PC-Lint v9.0 1CDPCB Investigator 3.41 1CDPCB Wizard Pro v3.50 1CDPowerLogic v1.1 1CDProfiCAD v6.7 1CD(电气原理图创建CAD工具)Plexim.Plecs.Standalone.v3.2.7.for.Win32 1CD(独立的时域仿真的电力电子系统软件)Plexim.Plecs.Standalone.v3.2.7.for.Win64 1CDPlexim.Plecs.Standalone.v3.2.7.for.Linux 1CDPlexim.Plecs.Standalone.v3.2.7.for.Linux64 1CDPlexim.Plecs.Standalone.v3.2.7.for.MacOSX 1CDPioneer.Hill.Software.SpectraPLUS.v5.0.26.0E 1CD(频谱管理软件系统,为各种无线通信的规划和管理提供了专业的工具)Docklight Scripting v1.9 1CD(通过COM,TCP和UDP串行通信协议的自动化测试工具)MyCAD MyAnal v6.3 1CD(模拟电路设计工具)MyCAD MyChip 2005 1CD(Layout设计工具)MyCAD.MyLogic.Station v5.1 1CD (电路图Editor,逻辑Simulator仿真工具)MyCAD.MyVHDL.v5.1 1CD (VHDLSimulator 仿真工具) Slickedit
Win32_64 2CDSlickedit
Linux32_64 2CDSlickedit
MacOSX1CDSourceBoost IDE v7.02 1CDSpice Vision v2.1 for WinALL 1CD(一个很流行的通用型积体电路模拟程序,可处理非线性直流,非常线暂态等功能)Spice Vision v2.1 for LINUX 1CDSpyglss v4.2 Linux32 1CDSpyglss v4.2 Linux64 1CD Timegen v3.2 Pro 1CDTinyCAD v2.80.00.396 1CDTina Pro v6.0 中文版Tina.Industrial.Pro.v8.0.with Manual 1CD(英文版)TINA.Pro 电子线路模拟仿真软件官方教程 Vivado and ISE Design Suites .2 1DVDXilinx.AccelDSP.v9.1 1CDXilinx DSP Tools v9.2.01.1028 1CDXilinx.ChipScope.Pro.v10.1.Windows.32bit 1CD(用于Xilinx FPGA的先进的实时调试和验证工具)Xilinx.ChipScope.Pro.v9.2i.Windows.64bit 1CDXilinx.ChipScope.Pro.v9.2i.Linux.32bit 1CDXilinx.ChipScope.Pro.v9.2i.Linux.64bit 1CDXilinx EDK v9.2.01i 1CDXilinx EDK 9.2i WinALL-ISO 1DVD(嵌入式开发套件(EDK)是用于设计嵌入式可编程系统的全面的解决方案)Xilinx Foundation 4.1i-ISO 1CDXilinx Syetem Generator v8.2.01 1CDXilinx System Generaror v3.1 1CDXilinx 中文教程Xilinx.ISE.Design.Suite.v14.1.WinALL-ISO 1DVDXilinx.ISE.Design.Suite.v14.1.Linux-ISO 1DVDXilinx.ISE.v7.1i.Spartan2.VirtexE.Devices 1CDXilinx.ISE.v7.1i.Spartan3E.Virtex3E.Devices 1CDXilinx.ISE.v7.1i.Linux 1CDXilinx.ISE.v7.1i.Linux.X64 1CDXilinx.Embedded.Development.Kit.v6.3i 1CDXilinx.Embedded.Development.Kit.v6.3i.Addon 1CD(帮助文件)Xilinx.Embedded.Development.Kit.and.XPS.Ver7.1.Incl.Sp2.For.Win32.PROPER-ISO 1CDXilinx.Embedded.Development.Kit.and.XPS.Ver7.1.For.Linux-ISO 1CDXilinx.PlanAhead.Design.Analysis.Tool.v10.1-ISO 1CD(通过简化综合与布局布线间的步骤, 能使用户在设计中实现最高性能并极大的减少设计时间)Xilinx.PlanAhead.v9.2.7.Linux 1CDXilinx.PlanAhead.v9.2.7.Solaris 1CDXilinx.TMRTool 9.2i 1CD Cosmic.Software.Suite.v10.2008-ISO 1CD(嵌入式系统开发工具)piler.IDEA.and.ZAP.Sim v2.9p 1CDpiler.IDEA.and.ZAP.Sim.v4.5b 1CD(嵌入式系统开发工具包)CosMIC STM8 16K C Compiler v4.2.8 1CDCompilerfor STMicroelectronics STM8 Cosmic CxSTM8 4.2.8 1CDmikroBasic.for.dsPIC30-33.and.PIC24.v6.0.0.0 1CDMikroElektronika.MikroBasic.For.PIC.v7.0.0.2 1CD(全能且独立的PIC单片机编译器)MikroBasic Pro for AVR
1CDMikroC Pro for AVR
1CDMikroPascal Pro for AVR v2.10 1CDMikroBasic Pro PIC
1CDMikroC Pro PIC v5.4 1CDMikroPascal Pro PIC
1CD Oshonsoft.8085.Simulator.IDE.v2.60 1CDOshonsoft.AVR.Simulator.IDE.v1.30 1CDOshonsoft.Function.Grapher.v1.20 1CDOshonsoft.PIC10F.Simulator.IDE.v1.40 1CDOshonsoft.PIC18.Simulator.IDE.v2.60 1CDOshonsoft.PIC.Simulator.IDE.v6.91 1CDOshonsoft.Z80.Simulator.IDE.v9.60 1CD AFT Impulse 3.0 1CDDSP.Robotics.FlowStone.Professional.v1.1.2 1CDEagleware Genesys 2004.07 Final 1CD(世界领先的射频微波设计软件)EagleWare Genesys v2003.03 SP3 1CDEplan Cabinet v2.0.5.4291 MultiLanguage-ISO 1CDEplan Electric P8 v2.1 SP1.0 Win32_64-ISO 1DVD(电气工程项目设计和管理)EPLAN Fluid v1.84 1CDEPLAN PPE v1.84 1CDEplan Pro Panel Professional v2.1 5325 Win32_64 1DVDEplan.Professional.v5.5-ISO 1CD(电子工程软件) HyperLynx Simulation Software v4.66 1CD (PCB仿真软件)HyperLynx Simulation Software v5.01 Update 1CD MetaWare.Arm.v4.5A .Working 1CDMerco.PCB.Elegance.v2.5 1CDMetapod.PCB.v2.4 1CDMikroElektronika.MikroC.for.dsPIC.30-33.and.PIC.24.v4.0.0.0 1CDModelsim Xilinx Edition II V5.7C 1CDNassda.Hsim.v2.0.DateCode.CDNI.Circuit.Design.Suite.Power.Pro.v11.0.1 1CDNI.Circuit.Design.Suite.Pro.v11.0 1CD(NI电路设计套件 电路图捕捉, SPICE仿真和PCB布局)PathLoss.v5.0 1CD(为频率在 30 MHz to 100 GHz之间的无线电通讯的通道设计工具)PeakVHDL Pro v4.21a 1CD(一个VHDL通用仿真器) PicBasic Pro v2.46 1CDPicbasic Pro Compiler v2.42 1CD PicBasic Plus v1.10 1CD Advanced PCB Design System v2.5 1CDImpulse CoDeveloper 3.70.d.11 (C-to-FPGA) Windows 1CDImpulse CoDeveloper 3.70.d.11 (C-to-FPGA) Linux 1CDImpulse.CoDeveloper.Universal.Pro.v3.60.a.2 1CD(用于开发FPGAs和基于FPGA的高性能电脑系统的一款C语言开发系统)Impulse.CoDeveloper.Universal.v3.50.b.2.Linux 1CDImperas Open Virtual Platforms (OVP) .3 1CDLinkCAD v5.7.0 1CDPulsonix.Advanced.Electronics.Design.System.v2.0(PCB电路板设计工具)RSI BOM Explorer v6.7.017 1CD Symphony.EDA.VHDL.Simili.Sonata.Professional.v3.1.13 1CDSymphonyEDA.VHDL.Simili.v2.0 1CDSimplis v4.2 1CDSigrity SpeedXP Suite v10.1 Win32-ISO 1CDSigrity SpeedXP Suite v11.0 Win64-ISO 1CDSigrity OptimizePI v2.0.11.10-ISO 1CDSigrity SpeedPKG v3.0-ISO 1CDSigrity UPD Viewer 1CDSigrity XcitePI v5.0 Win32-ISO 1CDSystat.PeakFit.v4.11.WinAll 1CD(处理信号噪声的软件,可以自动分离和分析信号)SPEED2000 DEMO 1CDSPEED2000 user Manual
 Texas.Instruments.OMAP.v2.ISO 1CDTimeGen 3.1 Pro 1CDTiming.Designer.Professional.v5.303.WINNT2K 1CDTrolltech Qt Commercial v4.4.0 1CD MULTSIM v10.1(中文版,电路设计套件 电路图捕捉, SPICE仿真和PCB布局) Ultiboard 2001 1CD(multsim2001的PCB工具)ULTImate Technology Ultiboard v5.72-ISO 1CDVeriTools.Undertow.v9.0.DateCode.CDVIRTINS Multi-Instrument v3.2 1CD(虚拟示波器软件)WinELSO v6.2-ISO 1CDWorkview Office v7.5 1CDX-HDL 4.2.1 Win32 1CDXmanagerEnterprise v4.0.0185 1CD Zuken.E3.Series.v2009-ISO 1DVDZeland IE3D v15.0 1CD(时域有限差分全三维电磁场仿真软件包)Zeland IE3D and Fidelity v9.0Zeland.Product.Suite.v12 1CD(平面和三维电磁场仿真与优化软件包)
Electronics Workbench产品:Electronics.Workbench.Ultiboard.v9.0.155 1CD(印刷电路板设计工具)Electronics.Workbench.Ultiroute.for.Ultiboard.v9 1CD(轻松的完成大规模继集成电路,多层PCB板及类似于
BGA封装模式的多针脚电子元件的设计)Electronics.Packaging.Designer.v7.2 1CD(设计复杂的电路板,有多种软件命令,可以使用EPD创建组建放置于你的设计中) Multisim v11.0 1CD(电子电路设计、信号分析)Multisim v10.1-ISO 1CD(中文汉化版)Multisim 2001增强专业版汉化文件Multisim 2001简明教程(中文) EMSS产品:FEKO v6.1.1 Win32 1CD(复杂形状三维物体的电磁场分析软件)FEKO v6.0 Win64 1CDFEKO 5.2 中文手册FEKO 视频教学 SuperSpice产品:SuperSpice v2.2.147 1CD(一款全自动的SPICE软件 ) Polar SB200a Professional v6.0 1CD(印刷电路板设计、测试系统)Polar.SI9000E.Field.Solver.v6.00 1CD(印刷电路板阻抗计算与设计工具)Polar.Instruments.SI.0.WinALL 1CD(印刷电路板阻抗计算与设计工具)Polar.Instruments.SI.WinALL 1CD(新的Si9000传输线场解决方案整合了快速精确的无损失和独立于频率的PCB传输线建模)  QCAD v29.0 Win9xNT 1CD (全面的电路设计软件,它包括电路图以及PCB(印刷电路板)模块,
电路图模块支持简单层次,复杂层次)TrepCAD St v3.3.1 1CD CAM Expert v2.0.4.8 1CD(QCAD的扩展工具。可以自动生成G-Code, HP/GL以及其它格式) CAMCAD & Translator v4.3.39 1CDRSI CAMCAD Pro v4.5.1003 1CD(CAD/CAM辅助软件,用来进行数据的预处理以及PCB板的设计) Ariel.Performance.Analysis.System.v2002.Rev1 1CDCircuit.Shop.v2.03.WinALL 1CD(图形化CAD电路设计工具)FpgaExpress v3.5.1 Altera Oem 1CDHolophase.CirCAD.v4.20e(较简单的电路图设计软件)Microsim Design Center v5.1 1CDSB200.StackUp.Builder.v6.2 1CD(用于快速建立电路板层的专业工具)SB200.StackUp.Viewer.v6.2 1CDPulsonix v7.5 1CD(电子电路设计软件)WinQcad v31.0 Win9x_NT 1CD(一款完善的电子线路设计系统,拥有图式控制平台与PCB(印刷电路板)设计两大模块,二者可单独或合并使用) 抄板软件4.2版 1CD色环电阻计算软件01 1CD世界三极管查询系统 V1.5 1CD ALTERA产品:Altera QUARTUS II DSP Builder v11.1 Windows 1CDAltera Max Plus II 10.2 1CDAltera.QUARTUS.II.v12.0.Full.Working.Win32_64-ISO 1DVD(数字系统设计,是业界唯一提供FPGA和固定功能HardCopy器件统一设计流程的设计工具)Altera.QUARTUS.II.v12.0.Full.Working.Linux32_64-ISO 1DVDAltera.QUARTUS.II.Nios.II.Embredded.Suite.v9.0.Windows-ISO(嵌入式开发)Altera.QUARTUS.II.DSP.Bulider.v9.0-ISO 1CDAltera.QUARTUS.II.v8.0.Modelsim.v6.1g-ISO 1CD Altera.QUARTUS.II.Megacore.IP.Library.v7.2.SP3.Full.Working-ISO 1CDAltera.Quartus.II.v5.0.Linux64-ISO 2CDAltera.Edition.ModelSim.v6.5b 1CD Coventor产品:CoventorWare vCD(MEMS系统设计、制造和模拟软件)CoventorWare v2004 1CD(MEMS系统设计、制造和模拟软件) juspertor UG产品:L-Editor v8.22 for Win32 1CDLayoutEditor.v 1CD(设计和编辑的MEMS布局/集成电路制造软件)LayoutEditor.v Linux 1CD Visionics产品Visionics.EDWinXP.Professional.v1.80 1CD(电路设计工具,可以用来进行PCB的布局设计和编辑) CADSOFT产品:CadSoft.Eagle.Professional.v6.1.0.Multilingual.Windows 1CD(设计印刷电路板(PCB)的软件)CadSoft.Eagle.Professional.v6.1.0.Multilingual.Linux 1CDCadSoft.Eagle.Professional.v6.1.0.Multilingual.MacOSX 1CD Accel-EDA v15.0 1CDAdLabPlus.v3.1 1CD(电气工程软件,高级电机工程实验室。拥有20多个特性工具专为设计网络、传输线、变压器等设计)Adlab.v2.31.WIN9X_NT_2K 1CD(电气工程软件,拥有20多个特性工具专为设计网络、传输线、变压器等设计)B2.Spice.AD.Professional.v5.1.8 1CD(多模式模拟的电子自动化设计软件,纯数字模拟,快速精确,拥有功能强大的使用界面) Comsystems.Integra.EDA.Tools.v4.0.SE.Pro 1CD(简体中文电路软件)CSmith.v2.7(一个有许多高级功能的电机工程的制图软件,它包括:内含电气元件,S-参数块和和可利用的晶体元件,
易于修改、布局、载入及保存电路图,输出S-参数块及完整的电路图等等)DipTrace.v2.20 1CD(是一套完整的印刷电路板设计系统)EAGLE.PCB.Power.Tools.v5.06 1CDEagle.PCB.v4.09R2-ISO 1CDMemsCap.Mems.Pro.v4.0-ISO 1CD(微机电系统软件,包括编辑、设计规则校验、块放置和布线、3维模型生成和3维可视化)Meta Post v3.3.1(带有ANSYS和METApsot的LS-DYNA的前后处理器) MAX+PLUS II v10.2 完全版 1CD(英文版 ?专业数字集成电路设计软件最新版)MAX+PLUS II v10.23 最新升级补丁MAX+PLUS II 开发工具包MAX+PLUS II 中文快速入门MAX Plus II 教程 PSoC.Designer.piler.v4.0
1CD(高效能、可现场编程的混合讯号数组,针对消费性、工业、办公室
自动化、电信、以及汽车等应用领域提供可量产的嵌入型控制功能)干式变压器计算程序v2.3 Unsorted产品:Modbus OPC Server v2.7 1CDModScan 32 v4.A00-04 1CDModSim 32 v4.A00-04 1CD 加拿大SES公司产品:CDEGS..3-ISO 1CD(电力系统接地分析软件) 美国PTI公司产品:PSS/E v32.03-ISO(大型电力系统仿真计算软件)PSS/E v31.0-ISO 1CDPSS E v31.20 Update Only 1CDPSS Viper v3.0.4 1CD(电力工程的可视的仿真器)PSS/E 中文操作手册PSS/E 入门视频教程 加拿大马尼托巴产品:PSCAD v4.21 Win32_64-ISO
1CD(一个快速、灵活和准确的电器设备和电力系统的电磁暂态仿真专业软件)PSCAD v4.20 用户手册(英文版) PLS CADD v9.20 1CD(电力架线设计软件) Electrocon International Inc.产品:Electrocon International CAPE 2010-ISO 1CD(分析和数据管理电力传输网络的保护系统)Eurostag v4.2 1CD(中长期稳定仿真软件) CYME International产品:Cyme.Cymcap.v4.6.R2 1CD(电力电缆安装载流容量和温升计算的工具) Cyme.Cymdist.v4.7.R6 1CD(对平衡或者不平衡的三相、两相、单相的电力系统进行分析的工具软件)CYME.Cymgrd.v6.3.R7 1CDCyme.Cymtcc.v4.5.R8 1CD(电力系统保护装置协调进行分析与研究的工具软件)Cyme.Psaf.v3.1.R1.11 1CD(整合性电力系统分析软体系列,它的基本架构系独立于模拟模组的
型态与数量, 这些家族成员 ( 模拟模组 ) 都共用一个整合的电
网设备资料库。 PSAF 可执行广泛的电力系统分析工作:如,电力
潮流分析、紧急事故分析、电动机启动分析、短路故障分析、暂态
稳定度分析、谐波分析)CGI CYME产品:AutoTRAX EDA v9.20 1CD(电子线路板布线设计软件,它提供了实施当今复杂的电子PCB设计应必备的所有高级工具)CCS 2.2 for C6000-ISO 1CDCCS for PIC 3.227 1CDCirMaker v6.2C 1CD(继电器设计软件)Edsa Technical 2000 SP3.5 Rev1a-ISO 1CD(电力系统分析) Active Factory v9.1.000.0216 Multilingual-ISO 1CDATPDRAW v3.4 for WinALL 1CD(电力系统电磁暂态仿真软件)DIgSILENT PowerFactory v14.0.523.0 1CDICS.Triplex.ISaGRAF.v5.13.309 1CD(自动化和控制软件)Industrial SQL Server v9.0.000.0341 Multilingual-ISO 1CDInTouch v10.1-ISO 1CD(过程可视化软件)ViDEC.MelSYS.v4.0.SP1.MultiLanguage-ISO 1CD(信息干扰调节系统)Magnetics Designer v4.1 Build 252 1CD(变压器设计软件)MyBPA 1.0
1CDMagus Antennamagus v1.0 1CD(天线设计)Neplan v5.35.WinALL 1CDSKM PTW v6.0 full 1CDSonnet Suite Pro v13.55-ISO 1CD(三维高频电子设计)Sonnet Suite Pro v13.52 Linux 1CD Super.FinSim.v10.0.03 1CD(高性能仿真器)Super.Finsim.v10.0.03.Linux 1CD Super.Finsim.v9.3.44.Linux.64Bit 1CDSuper.FinSim.v10.0.03.Solaris 1CDSuper.Finsim.v6.2.09.Solaris.64Bit 1CD Spectrum.Micro-Cap.v10.0.4.0.Full 1CD(集成模拟/数字电路的设计编辑、混合以及绘制草图和环境模拟的模拟软件)SuperNEC v2.9-ISO 1CD(天线分析,基于MATLAB的输入、输出介面)SynaptiCAD.AllProducts.v17.01q 1CDSynaptiCAD.Product.Suite.v17.01g.Linux 1CDSynaptiCAD.AllProducts.v13.24a.SOLARIS 1CDSynaptiCAD.v2v 1CDSuperWORKS v7.0 完全破解版 1CDSuperWORKS 网络版 R6.0
1CD(陕西利达电力电子有限责任公司以AutoCAD R14/2002为平台二次开发的专门用于电路图设计的软件) TI.poser.Studio.v2.0-ISO 1CDTI.Code Composer Studio v5.01 Platinum-ISO 1DVD(集成开发环境(IDE)白金版)poser.Studio.v2.2.for.C6X 1CDTI.Msp430.KickStart.v3.01 1CDputer.Link.Software.MultiLanguage-ISO 2CD(芯片开发) BPA 2006 1CDDSA PowerTools v4.0-ISO 1CDEMTPWorks v2.02 1CDGaia.v4.2.0.1.MultiLanguage.WinALL 1CD(应用于电力行业的,低压电网计算机辅助设计软件)GENESIS32 v7.2 1CD(工控软件)DAQFactory Pro v5.87a Build 1972 1CD(组态软件DAQFactory(数采工厂)是一个完整的系统解决方案,它包容了数据采集 过程控制和数据分析,
能从SerialRS232、OPC、DAQ、LabJack USB 设备、Modbus设备中采集数据, 并进行分析处理的软
件。用于自动化控制、科学研究、教育等场合)PCFLO v6.0 1CD(电力系统谐波仿真分析软件)Power World Simulator v8.0 1CDScopeView v1.12 1CDVision.v5.7.3.1.MultiLanguage.WinALL(电力网络高级分析工具,用作输电、配电和工业电网的规划部署、设计和管理)V-ELEQ 电气仿真软件 1CD ABB公司的电力系统仿真分析软件SIMPOW 10.1 1CD三相异步电机设计3.0 破解版 1CD三相异步电机CAD系统 1CD变压器设计大师2.0 1CD(破解版)电力系统分析综合程序PSASP7.0-ISO 1CD电力系统分析综合程序PSASP7.0 用户手册混合发电系统模拟软件Hybrid2 v1.3e 1CD整流变压器功率计算软件 PowerCalc组态王6.51中文版-ISO 1CD(无限点破解版)昆仑通态MCGS全中文标准版060310-ISO 1CD(6.2无限点破解版,包括通用版、网络5用户版)昆仑通态MCGS初级教程、高级教程力控教程(中文)QQ: Email:kefu007@分类: |}

我要回帖

更多关于 proficad中文手册 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信