multisim怎么用板子如何加长

FPGA正在逐渐成为EECS专业的同学们在校期间学习掌握的一项必备技能无论是在课程实验、竞赛作品、科研项目还是未来求职过程中,拥有FPGA技能包对每一名电类工科生而言都会昰一项不小的加分项

对于想要学习着手开始学习FPGA的童鞋们,我们有一则坏消息和一则好消息先说坏消息:现今每家FPGA厂商都有其专属的開发工具链,这也意味着要想对不同的FPGA开发板进行编程你首先得熟悉掌握不同的开发工具链,而这往往并不简单接下来的好消息你一萣会眼前一亮:现在,你可以使用multisim怎么用这一在电路实验中无处不在并广受欢迎的电路搭建与仿真工具来对多款Digilent FPGA开发板进行编程了!是的你没有听错哦。只需要一些基本的设置你所熟悉的multisim怎么用就将成为助你探索FPGA奇妙世界的一项利器。

在今天分享的教程中我们就将手紦手一步步教你如何使用multisim怎么用对Digilent FPGA开发板进行编程。如果你有兴趣赶紧跟着我们一起来操作吧!

第一步记得先安装LabVIEW,这是由于multisim怎么用的┅些文件需要在装好LabVIEW的基础上才能实现交互运作当上述每一个软件装好之后,记得重启电脑以使其生效

下载页中所推荐的“NI Download Manager”选项可鉯帮你获取一个较小的下载文件,在此基础上帮你再下载工具包所需的安装文件对应的,“Browser Download”选项直接帮助你获取一个*.iso镜像下载文件並随后会直接自动安装到你的电脑光驱上。这里你可以选择任意一种你喜欢的方式进行下载安装

如果*.iso镜像文件下载完毕后没有自动进入咹装程序,你可以在默认下载路径中双击它来启动安装程序

点击“Next”然后输入安装文件包中所包含的任一序列号。我使用的是LabVIEW序列号

の后软件会自动搜索更新文件。一路选择“Accept”然后单击“Next”。

之后再点击一次“Next”即可开始安装。

到这里所有的软件程序及关联组建就都安装完毕了。接下来我们开始进行multisim怎么用编程部分的讲解

2.FPGA“代码编写”概述


通常,用于FPGA芯片的代码我们都是通过硬件描述语言来編写其中最为广泛使用的即是VHDL或Verilog。绝大多数的硬件描述语言都可归结为使用两种不同的设计理念来编写代码:结构性或行为性上述两種方式指的不是某种特定的语言,而是思考如何编写代码的两种概念方法当然,你也可以在同一个项目中同时使用结构性与行为性两種方法,只要你觉得没有问题的话

当你增加3位时,你所能获得的最大值为十进制的3或二进制的11,因此在这里我们需要'sum'值对于'sum'位数而言昰一个二位占位符编译器明白“+”的意义,并创建了一个二进制代码来完成这一任务S与Cout位被对应的分配给'sum'中的相应位数。

相对应的結构性设计是一种对于“实现正确处理输入数据的精确逻辑”的明确描述,以下是对于同一全加法器的结构性描述代码示例:

在这一代码礻例中我们已经明确告知编译器我们是如何希望所输入的数据被逻辑处理的。编译器再一次“施展它的魔力”并以芯片能够理解的方式編译文件

这里写了这么多是想告诉你:由于multisim怎么用是一款使用图形进行电路设计的工具,故而我们将使用结构性设计方式来“编写”我們的代码但这里我们将用的是图形而非文本语言。如何理解这句话图1即为针对上述同一全加法器的multisim怎么用实现(直接从multisim怎么用画布中複制过来)。这次你会发现我们使用的是图形化的逻辑门:

通过上述方式我们使用multisim怎么用十分清晰地构建了一个逻辑电路。试想如果想要视觉化地来描述电路的结构而不使用结构性编程方法的话,难道还能有其它第二种方法吗

以上就是使用multisim怎么用来对FPGA开发板进行编程嘚全部基础知识所需。下面让我们进一步瞅瞅如何来进行编程

3.现在让我们用multisim怎么用来编写一些代码


使用multisim怎么用来对FPGA开发板编程,更像是茬画画只是你首先需要有设计思路。这里我们还是从设计一个先前提到的二位全加法器开始。

打开multisim怎么用你会看到一个默认的空白畫布(canvas),用以设计或仿真模拟电路

将鼠标移动到左上角,单击“File”然后选择“New”

弹出窗口中,点击顶端的“PLD design”按钮然后点击底部嘚“Create”按钮。

下一个跳出的窗口会让你去选择你的配置文件在这里,你可以选择各种multisim怎么用所支持的Digilent FPGA开发板定义这些都已经在软件安裝过程中被加载入。此处你可以从下拉框中选择一种标准定义,或是加载一个自定义的配置文件(如果你有的话)再或是创建一个空皛的PLD项目。在这一教程中我将使用的是Digilent Basys 3 FPGA开发板。在选择好对应的开发板后点击“Next”。

在下一个窗口中给你的项目取一个名字。然后點击下一步

之后的一个窗口中,你可以选择你希望加入到项目中的开发板所对应的全部I/O外设在我的项目中,我需要5个LED3个开关,因此峩选择LED0 → LED4 and SW0 → SW2选择好之后,点击“Finish”

现在,PLD项目会在multisim怎么用窗口左侧的Design Toolbox(设计工具箱)中出现同时canvas(画布)上会显示你所选择的I/O接口。

我们有两种放置组件的方式第一种方式为点击工具栏中的AND门小图标。这会帮你打开组件选择窗口并默认对应到"PLD Logic"组过滤器。第二种方式为点击顶部菜单栏中的“Place”按钮然后选择"Component" ,同样会帮你打开组件选择窗口

在此教程中,我们将使用基础逻辑门所以我们在左侧菜單栏中选择它,并高亮你准备放置的第一个门放置好之后,选择下一个门重复操作放置,直到所有的都放置完毕在这个二位全加法器中,我们需要2个XOR22个AND2,和1个OR2门将所有的门连接起来。需要注意的是在这里你可以更改接口的标签,但并不鼓励你这样做


下一步,峩们将代码部署到FPGA中去这里,我们首先要确保FPGA开发板通过USB线已经连上电脑并将之开关打开。然后点击Mulitisim菜单栏中的“Transfer”,并选择“Export to PLD...”

在接下去的一个窗口,会提示有多个选项提示操作勾选单选框选择“Program the connected PLD”。

之后的一个窗口记得确保在选择框中点击选中“NI LabVIEW FPGA”工具选項。然后点击底部的“Finish”来对FPGA实现编程实际操作中,我也尝试勾选了“Xilinx Vivado 2016.4”选项发现也没有任何问题。

随后multisim怎么用会自动连接至Vivado以生荿编程开发板所需的正确文件。整个过程需要持续几分钟一旦以上步骤全部都完成之后,你的开发板就编程完毕了

5.配置文件的一些注意事项


multisim怎么用使用配置文件来实现与Vivado之间的通信。每一块开发板需要两个配置文件一个是*.mspc扩展文件,还有一个*.ucf或*.xdc文件如果你所用的是Basys 3戓是Nexys 4 DDR开发板(非老版本的Nexys 4),上述这些文件往往会缺失或者不完整这里给大家讲解一下如何添加或修改这些配置文件。如果你使用的是其它开发板则这里你可以略过。

Suite 14.1\pldconfig”找到之后,将所下载的两个配置文件放到这个文件夹中打开multisim怎么用,接下来你就可以参考前述步驟来对你的Nexys 4 DDR进行项目编程了

Basys 3的配置文件在multisim怎么用安装时会自动生成,但这一文件中缺失了一些定义最简单的方法,同样你可以点击「閱读原文」下载DigilentBasys3.xdc 和 DigilentBasys3.mspc两个配置文件然后将之前自动生成的Basys 3配置文件覆盖掉。与之前提到的方法一样转至配置文件所在的multisim怎么用目录,将丅载好的两个文件拖到文件夹中覆盖掉原始文件

在这里,如果你希望挑战一下自己来自行修改原始的Basys 3配置文件下文将教你如何实现。當你在multisim怎么用中打开一个新的PLD项目并选择Basys 3作为你的开发板,其中会有几个I/O选项丢失丢失的部分同时包括了VGA,RS-232USB HID(PS/2),以及quad SPI flash的定义见图1。

multisim怎么用使用配置文件来实现与Vivado之间的通信每一块开发板需要两个配置文件,一个是*.mspc扩展文件还有一个*.ucf或*.xdc文件。如果你所用的是Basys 3或是Nexys 4 DDR开發板(非老版本的Nexys 4)上述这些文件往往会缺失或者不完整。这里给大家讲解一下如何添加或修改这些配置文件如果你使用的是其它开發板,则这里你可以略过

Suite 14.1\pldconfig”。找到之后将所下载的两个配置文件放到这个文件夹中。打开multisim怎么用接下来你就可以参考前述步骤来对伱的Nexys 4 DDR进行项目编程了。

Basys 3的配置文件在multisim怎么用安装时会自动生成但这一文件中缺失了一些定义。最简单的方法同样你可以点「阅读原文」下载DigilentBasys3.xdc 和 DigilentBasys3.mspc两个配置文件,然后将之前自动生成的Basys 3配置文件覆盖掉与之前提到的方法一样,转至配置文件所在的multisim怎么用目录将下载好的兩个文件拖到文件夹中覆盖掉原始文件。

在这里如果你希望挑战一下自己来自行修改原始的Basys 3配置文件,下文将教你如何实现当你在multisim怎麼用中打开一个新的PLD项目,并选择Basys 3作为你的开发板其中会有几个I/O选项丢失。丢失的部分同时包括了VGARS-232,USB HID(PS/2)以及quad SPI flash的定义。

在开始下一步操莋之前务必记得复制这两个文件,并将之放到一个单独的目录文件夹中比如你可以在桌面上为之新建一个文件夹。这样做的目的是为鉯防整个操作过程的结果失败这样可以让你保留有原始文件以取得再次尝试的机会。在这里我不建议你选择修改文件,除非你对自己嘚能力很有信心这是因为错误的文件修改虽然不会对multisim怎么用的操作性能产生影响,但是你所编程的开发板很可能会因此出现问题

想要莋这些修改,你需要用到文本编辑器比如:Notepad++。记得不要去双击打开.mspc文件这会直接打开multisim怎么用,而这样做对我们毫无意义你所要做的呮是对文件中的真实文本进行修改,以使multisim怎么用能够读取并加载正确的开发板配置文件因此,这里你需要做的是右键点击.mspc文件选择“Open with...”,在弹出菜单中选择你的文本编辑器以将之打开.mspc文件往往以标记语言形式撰写,类似于HTML或XML这种形式简单易懂,但这里我们需要对其進行一些修改

我们无需对顶部的1-9行数据做任何的修改。我们需要关心的部分是所有标签之间的数据每一个I/O引脚定义需要一些基本的参數。其中“Name”需要与.xdc文件中的引脚名称保持一致。“Mode”决定了引脚是被定义为输入(“in”)输出(“out”),还是双向引脚(“bidir”)“Location”则用来告诉multisim怎么用在画布上以何种默认的方向来放置引脚。正常情况下输入朝向左面,输出则朝向右面“Place”选项则是一个布尔值標志,以用来告诉multisim怎么用当你初次选择I/O引脚时是否是默认选择。

这里你可以自行根据需求添加缺失的引脚,或者可以简单地复制以下萣义:

将上述文本复制黏贴入“JXA_”定义下方的.mspc文本文件然后保存更新好的.mspc文件,确保该文件所在的位置为multisim怎么用目录下的“pldconfig” 文件夹内

然后保存上述更新好的.xdc文件,同样确保其在“pldconfig” 文件夹内接着,我们重新回到“pldconfig” 文件检查一下确保文件的修改日期与当前的时间┅致,而非你安装multisim怎么用时的时间

当上述步骤都完成好之后,让我们回过头来检查一下确保所做的所有努力都能行之有效。打开multisim怎么鼡并启动一个新的PLD现在,你会发现所有的板载I/O在配置操作步骤中都能够正常显示并可供选择。

到这里所有的步骤与注意事项就都完荿啦!如你所见,使用multisim怎么用对FPGA进行编程是如此地简单方便你所需要的仅仅只是具有一定的逻辑电路工作原理的知识,而无需再去去学習掌握硬件描述语言了如果你有兴趣,赶紧拿起开发板与multisim怎么用跟着一步步尝试一下吧!

}

该楼层疑似违规已被系统折叠 

学FPGA┅定要买一块板子吗multisim怎么用能不能仿真?Altera的


}

我要回帖

更多关于 multisim怎么用 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信