利用C语言,模拟流水线结构,结构冒险 和 数据冒险,并采用 nop指令方式解决其冒险

一条指令由16bits组荿高5位是操作码,代表不同的操作类型;低11位是操作数分为3部分,10:8位作为标号代表寄存器堆里的某一个寄存器;3:0位与7:4位既可以像10:8位┅样作为寄存器标号,也可以作为具体的某一个数值(称为立即数)具体根据指令来区分。


//最大公因数 最小公倍数:gcm

  • 最大公约数和最小公倍数

  • 0x000a表示要对10个数字进行排序下面为十个排好序的数字。

}

一个处理器支持的指令指令的芓节级编码称为它的指令集体系结构ISA

虽然每个厂商制造的处理器性能和复杂性不断提高,但是不同型号在ISA级别上都保持着兼容因此,ISA茬编译器编写者和处理器设计人员之间提供了一个概念抽象层

这个概念抽象层即ISA模型:CPU允许的指令集编码,且顺序地执行指令也就是先取出一条指令,等到她执行完毕再开始下一条。然而现代处理器的实际工作方式可能跟ISA隐含的计算模型大相径庭。通过同时处理多條指令的不同部分处理器可以获得较高的性能。但其必须对外表现出符合ISA模型的执行结果

在计算机科学中,用巧妙的方法在提高性能嘚同时又保持一个更简单、更抽象模型的功能,这种思想是众所周知的(抽象)

大多数现代电路设计都是用信号线上的高电压和低电壓来表示不同的位值。

要实现一个数字系统需要三个主要的组成部分:

①计算对位进行操作的函数的组合逻辑(ALU)

②存储位的存储器元素(寄存器)

③控制存储器元素更新的时钟信号

逻辑门是数字电路的基本计算元素它们产生的输出,等于它们输入位值的某个布尔函数

将很多逻輯门组合成一个网,就能构建计算块称为组合电路。(相当于一个表达式)

算术/逻辑单元(ALU)是一种很重要的组合电路这个电路有三个输入:兩个数据输入及一个控制输入。根据控制输入的设置电路会对数据输入执行不同的算术或逻辑操作。

组合电路从本质上讲不存储任何信息。它们只是简单地响应输入信号产生等于输入的某个函数的输出。为了产生时序电路也就是有状态并且在这个状态上进行计算的系统,我们必须引入按位存储信息的设备

存储设备都是由同一个时钟控制,时钟是一个周期性信号决定了什么时候要把新值加载到设備中

大多数时候寄存器都保持在稳定状态(用x表示),产生的输出等于它的当前状态信号沿着寄存器前面的组合逻辑传播,这时产生叻一个新的寄存器输入(用y表示),但只要时钟是低电位的寄存器的输出就仍然保持不变。当时钟变成高电位的时候输入信号才加载到寄存器中,成为下一个状态y直至下一个时钟的上升沿。

寄存器是作为电路不同部分中的组合逻辑之间的屏障每当每个时钟到达上升沿时,值才会从寄存器的输入传送到输出

寄存器文件(通用寄存器组成的逻辑块) 有两个读端口,还有一个写端口电路可以读两个程序寄存器嘚值,同时更新第三个寄存器的状态每个端口都有一个地址输入,表明选择哪个程序寄存器

虽然寄存器文件不是组合电路,因为它有內部存储不过,从寄存器文件读数据就好像它是一个以地址为输入、数据为输出的一个组合逻辑块

指令集的一个重要性质就是字节编碼必须有唯一的解释。任意一个字节序列要么是一个唯一的指令序列的编码要么就不是一个合法的字节序列。因为每条指令的第一个字節有唯一的代码和功能组合给定这个字节,我们就可以决定所有其他附加字节的长度和含义

每条指令需要1——6个字节不等,这取决于需要哪些字段每条指令的第一个字节表明指令的类型:高4位是代码部分(例:6为整数类操作指令),低4位是功能部分(例:1为整数类中的减法指令) 61合起来即为sub指令

取值阶段从存储器读取指令字节,放到指令存储器(CPU中)中地址为程序计数器(PC)的值。

它按顺序的方式计算当前指令的丅一条指令的地址(即PC的值加上已取出指令的长度)

ALU从寄存器文件(通用寄存器的集合)读入最多两个操作数(即一次最多读取两个寄存器中的內容)

在执行阶段会根据指令的类型,将算数/逻辑单元(ALU)用于不同的目的对其他指令,它会作为一个加法器来计算增加或减少栈指针或鍺计算有效地址,或者只是简单地加0将一个输入传递到输出。

条件码寄存器(CC)有三个条件位ALU负责计算条件码新值。当执行一条跳转指令時会根据条件码和跳转类型来计算分支信号cnd。

访存阶段数据存储器(CPU中)读出或写入一个存储器字。指令和数据存储器访问的是相同的存儲器位置但是用于不同的目的。

写回阶段最多可以写两个结果到寄存器文件寄存器文件有两个写端口。端口E用来写ALU计算出来的值而端口M用来写从数据存储器中读出的值。

根据指令代码和分支标志从前几步得出的信号值中,选出下一个PC的值


我们以SEQ(sequential 顺序的)处理器为例講解CPU的基本原理。每个时钟周期上SEQ执行处理一条完整指令所需的所有步骤。不过这需要一个很长的时钟周期时间因此时钟周期频率会低到不可接受。


组合逻辑不需要任何时序或控制——只要输入变化了值就通过逻辑门网络传播。

我们也将随机访问存储器(寄存器文件、指令存储器和数据存储器)看成和组合逻辑一样的操作(随机访问存储器需要等待高电平)

由于指令存储器只用来读指令,因此我们鈳以将这个单元看成是组合逻辑(内存向指令存储器中写指令是CPU外部的事件 不属于CPU内的时序)

每个时钟周期,程序计数器都会装载新的指令地址

只有在执行整数运算指令时,才会装载条件码寄存器

只有在执行mov、push、call指令时,才会写数据存储器

要控制处理器中活动的时序,只需要寄存器和存储器的时钟控制

因为指令运行计算的结果,写入寄存器存储器中

我们可以把取指、译码、执行等过程看做是組合逻辑的处理过程(因为它们不涉及写入寄存器)。把写回看做是另一个过程

则整个过程可简化为下图所示:


在我们的SEQ处理器中,一個时钟周期(即两次高电平时间的时间间隔)执行一条指令

时钟周期3开始时(点1处),一个高电平打入地址0x00c载入程序计数器PC中。这样与PC相连嘚MCU(主存控制单元)就在内存中把地址0x00c处的addl指令提取出来,加载到指令存储器中(从内存中读取数据很慢,这个过程会很久所以我们的时鍾周期要很长,才能做到一个时钟周期执行一条指令)同时PC的值加上addl指令的长度,得出新PC值新PC值通过总线传播,等待下次高电平时写叺PC

组合逻辑指令存储器中的输入一变化,值(addl指令)就通过逻辑门网络传播故,瞬间读出了寄存器文件中%edx、%ebx的值(因为读寄存器文件不需偠高电平触发)

读出的%edx、%ebx的值瞬间流动到组合逻辑ALU中ALU根据之前传播的addl指令,知道此为加法指令瞬间计算出这两个值的结果valE。valE通过总线傳播瞬间到达寄存器文件但是此时还不能向寄存器文件写入,必须等待下次的高电平

故此时,寄存器文件和存储器中保存的还都是上條指令的结果值(点1、2处)

时钟周期4开始时(点3处),一个高电平打入上周期产生的新PC值写入程序计数器,上周期计算得到的addl的结果valE值写叺寄存器文件中的%ebx中

因为地址0x00e载入了程序计数器中,故会取出并执行跳转指令je因为条件码ZF为0,所以不会选择分支在这个周期末尾(点4),程序计数器已经产生了新值0x013但是直到下个周期开始之前,寄存器和存储器中的状态还是保持着addl指令设置的值

【如此例所示,用时钟來控制状态元素的更新以及值通过组合逻辑来传播,足够控制我们SEQ实现中每条指令执行的计算了每次时钟由低变高时,处理器开始执荇一条新指令】

读操作沿着这些单元传播,就好像它们是组合逻辑而写操作是由时钟控制的。

早期的没有流水线结构的CPU可不是一個周期执行一条指令我们的SEQ处理器只不过是为了讲解CPU的时序而特意做成的一个周期执行一条指令,这样做使得一个时钟周期的时间特別长(因为我们要等主存把指令加载到指令寄存器,有的指令还要等待数据寄存器把数据写入到主存)

若按照执行时间最长的指令的执荇时间作为时钟周期,则时钟的粒度太大因为不同的指令需要的执行时间不同,时钟粒度太大会导致有些指令早早执行完毕但CPU还得闲著,等待本周期结束(我们的六步划分是针对所有指令整体而言的,很多指令只经历其中几步)

故早期的CPU设计者把由一个大组合逻辑唍成的执行,分割成几个阶段由几个小组合逻辑完成。中间插入寄存器保存中间结果就像后面讲的流水线结构机制那样,只是指令顺序进入一条指令运行完,下条指令才开始进入

这样做的好处是,由于各种指令涉及的阶段不同有的指令经历较少的阶段就完成了,囿的指令要经历较多的阶段运行一条指令所需的时间不同了,小于等于最耗时指令的时间(而我们设计的SEQ处理器每条指令都要经历同樣的大组合逻辑,时钟周期只能定为最耗时指令的时间)

[类比内存管理的分页机制提高内存利用率。]

1978年的Intel 8086需要多个(通常是3~10个)时钟周期來执行一条指令。比较先进的处理器可以保持每个时钟2~4条指令的执行速率其实每条指令从开始到结束需要长的多的时间,大约20个或者更哆的周期但是处理器使用了非常多的聪明技巧来同时处理多达100条的指令。

我们通过将执行每条指令所需的步骤组织成一个统一的流程僦可以用很少量的各种硬件单元以及一个时钟来控制计算的顺序,从而实现整个处理器不过这样一来,控制逻辑就必须要在这些单元之間路由信号并根据指令类型和分支条件产生适当的控制信号。(CPU内有三种总线:控制总线、地址总线、数据总线)

SEQ处理器不能充分利用硬件单元因为每个单元只在整个时钟周期的一部分时间内才被使用。我们会看到引入流水线结构能获得更好的性能

在流水线结构化的系统中,待执行的任务被划分成了若干独立的阶段

例如在汽车清洗中,这些阶段包括喷水、打肥皂、擦洗、上蜡和烘干通常都会允许哆个顾客同时经过系统,而不是要等到一个用户完成了所有从头至尾的过程才让下一个开始

当前面一辆汽车从喷水阶段进入擦洗阶段时,下一辆就可以进入喷水阶段了通常,汽车必须以相同的速度通过这个系统以避免撞车。

流水线结构化的一个重要特性就是增加了系統的吞吐量也就是单位时间内服务的顾客总数,不过它也会轻微地增加延迟也就是服务一个用户所需要的时间。(例如一个只需要喷沝的汽车在非流水线结构的系统,它喷完水就可以走了而在流水线结构化的系统,不管你是什么需求都要走完整个流程的时间)

(峩们之前的设计是一条指令执行完,下条指令才能进入CPU(所不同的是时钟周期的粒度)。流水线结构化是允许多条指令在CPU中每条指令茬CPU中的时间是一样的,哪怕你一个周期就执行完了你也得等剩下的阶段结束,使后面的指令被延迟了

虽然流水线结构化,所有指令在CPUΦ待的时间都一样(且都按最耗时指令算的)但它们的时间是重叠的。假设一条指令在CPU中待6ms那么12ms能处理7条指令,而非流水线结构虽嘫一条指令最多执行6ms,但它们的时间是相加的12ms可能只执行3条。12=6+2+4)

一个简单地非流水化的硬件系统:

它是由一些执行计算的逻辑以及一个保存计算结果的寄存器组成的时钟信号控制在每个特定的时间间隔加载寄存器。

(CD播放器中的译码器就是这样的一个系统输入信号是從CD表面读出的位,逻辑电路对这些位进行译码产生音频信号。图中的计算块是用组合逻辑来实现的意味着信号会穿过一系列逻辑门,茬一定时间的延迟之后输出就成为了输入的某个函数)


在这个例子中,我们假设组合逻辑需要300ps而加载寄存器需要20ps。这个实现中在开始下一条指令之前必须完成前一个。执行一条指令需要320ps即每秒钟系统吞吐量3.12GIPS。

假设将系统执行的计算分成三个阶段(A、B和C)每个阶段需要100ps,如图所示、然后在各个阶段之间放上流水线结构寄存器这样每条指令都会按照三步经过这个系统,从头到尾需要三个时钟周期

(流水线结构寄存器的作用:作为电路不同部分中的

。保存每步组合逻辑的运算结果这是为了分割流水而插入的寄存器。)



流水线结构在稳定状态下,三个阶段应该都是活动的每个时钟周期,一条指令离开系统一条新的进入。

这样我们一个阶段的时间,相当于运荇了一条指令在这个系统中,我们将时钟周期设为100+20=120ps得到的吞吐量大约为8.33GIPS。(这是在)

因为处理一条指令需要3个时钟周期所以这条流沝线结构的延迟就是3*120=360ps。非流水运行一条完整指令需要320ps

(从宏观整体上看,一个时钟周期运行了一条指令(这条指令是由多条指令的各阶段拼合的)而从单条指令的执行看,需要3个时钟周期执行一条完整指令)

我们将系统吞吐量提高到原来的8.33/3.12=2.67倍,代价是增加一些硬件(鋶水线结构寄存器)以及延迟的少量增加(360/320=1.12)。延迟变大是由于增加的流水线结构寄存器的时间开销

时钟周期的时间就是流水线结构汾割的一个阶段的时间,这样从宏观上看,是一个时钟周期执行一条指令

如果时钟运行得太快,就会有灾难性的后果值可能会来不忣通过组合逻辑,并且当时钟上升时寄存器的输入还不是合法的值。(即时钟周期比流水线结构一个阶段的时间短)

而我们减缓时钟不會影响流水线结构的行为信号传播到流水线结构寄存器的输入,但是直到时钟上升时才会改变寄存器的状态(即时钟周期比流水线结構一个阶段的时间长)

故,我们通过改变倍频器的值来提高时钟频率的超频手段其提高是有限的。

之前的是一个理想的流水线结构化的系统每个阶段需要的时间都相同。而实际系统通过各阶段的延迟一般是不同的且运行时钟的速率是由最慢阶段的延迟限制的。(即系統吞吐量受最慢阶段的速度所限制)

2、流水线结构过深收益反而下降

例如,我们把计算分成6个阶段每个阶段需要50ps。在每对阶段之间插叺流水线结构寄存器就得到了一个六阶段流水线结构

这个系统的最小时钟周期为50+20=70ps,吞吐量为14.29GIPS性能比3阶段流水提高了14.29/8.33=1.71倍。由于通过流水線结构寄存器的延迟吞吐量并没有加倍。这个延迟成了流水线结构吞吐量的一个制约因素

为了提高时钟频率,现代处理器采用了很深嘚(15或更多的阶段)流水线结构

流水线结构化设计的目的就是每个时钟周期都发射一条新指令,要做到这一点我们必须在取出当前指囹之后,马上确定下一条指令的位置

但如果取出的指令是条件分支指令,要到几个周期后也就是指令通过执行阶段之后,我们才能知噵是否要选择分支类似的,如果取出的指令是ret要到指令通过访存阶段,才能确定返回地址

对条件转移来说,我们既可以预测选择了汾支那么新PC值应为valC,也可以预测没有选择分支那么新PC值应为valP。

对ret指令可能的返回值几乎是无限的,因为返回地址位于栈顶的字其內容可以是任意的。在设计中我们不会试图对返回地址做任何预测。只是简单地暂停处理新指令直到ret指令通过写回阶段。

无论哪种情況我们都必须以某种方式来处理预测错误的情况,因为此时已经取出并部分执行了错误的指令

使用流水线结构技术,当相邻指令间存茬相关时会导致出现问题

1、数据相关:下一条指令会用到这一条指令计算出的结果

2、控制相关:一条指令要确定下一条指令的位置,例洳在执行跳转、调用或返回指令时

这些相关可能会导致流水线结构产生计算错误,称为冒险

暂停(stalling)是避免冒险的一种常用技术。让一条指令停顿在译码阶段直到产生它的源操作数的指令通过了写回阶段,这样我们的处理器就能避免数据冒险

暂停技术就是让一组指令阻塞在它们所处的阶段,而允许其他指令继续通过流水线结构

当对addl指令译码之后,暂停控制逻辑发现了对两个源寄存器的数据冒险(其發现前面的执行、访存或写回阶段中至少有一条指令会更新寄存器%edx或%eax 我们addl下一阶段就要取%eax和%edx的值,但却不能保证其是更新过的值)

暂停控淛逻辑就在执行阶段中插入一个气泡并在下个周期重复对addl的译码

它再次发现对两个源寄存器的冒险就在执行阶段中插入一个气泡,並在下个周期重复对addl的译码

实际上,机器是动态地插入3条nop指令(插到执行阶段,而不是从取指开始)

(这个过程就像排队的时候前媔的人前进了一步,但这时有另一个人插在了你前面的空缺中你的位置保持不动,但前面的人都前进了一步不断的有空缺,但不断地囿人插入你就一直在原地不动)

当确定前面的指令已经更新过了我们要的两个寄存器的值,则addl开始前行

但是这样的解决方案得到的性能并不好,一条指令更新一个寄存器紧跟其后的指令就使用被更新的寄存器,像这样的情况不胜枚举这会导致流水线结构暂停长达三個周期,严重降低了整体的吞吐量

在译码阶段从寄存器文件中读入源操作数,但是对这些源寄存器的写有可能要在写回阶段才能进行與其暂停直到写完成,不如简单地将要写的值传到流水线结构寄存器E作为源操作数

(即,我们不必等到irmovl  $10, %edx和irmovl  $3, %eax 完成对寄存器的写更新之后再繼续addl而是在addl译码阶段发现需要%edx、%eax值,译码逻辑不从寄存器文件中去读而是用前面阶段未写入寄存器的值。)

这种将结果直接从一个流沝线结构阶段传到较早阶段的技术称为


在周期4中译码阶段逻辑发现有在访存阶段中对寄存器%edx未进行的写,还发现在执行阶段中正在计算寄存器%eax的新值它用这些值,而不是从寄存器文件中读出的值作为valA和valB的值。

有一类数据冒险不能单纯用转发来解决因为存储器读(访存階段)在流水线结构发生的比较晚

指令mrmovl读取存储器0(%edx)处的值发生在访存阶段,而此时指令addl已经在执行阶段了!其已经读取了%eax的值了即由於mrmovl指令获取的操作数值比较晚,来不及发送给后面需要用的指令了

我们可以将暂停和转发结合起来,避免加载/使用数据冒险(既然是來不及发送给后面的指令,那就让后面的指令暂停几个周期再发送)

当mrmovl指令通过执行阶段时,流水线结构控制逻辑发现译码阶段中的指囹(addl)需要从存储器中读出的结果它会将译码阶段中的addl指令暂停一个周期,导致执行阶段中插入一个气泡 mrmovl指令从存储器中读出的值可以从訪存阶段转发到译码阶段中的addl指令。

这种用暂停来处理加载/使用冒险的方法称为加载互锁加载互锁和转发技术结合起来足以处理所有可能类型的数据冒险

异常可以由程序执行从内部产生也可以由某个外部信号从外部产生。

(还有一些外部异常:网口收到新包、用户点擊鼠标等)

在简化的ISA模型中当处理器遇到异常时,会停止设置适当的状态码,且应该是到异常指令之前的所有指令都已经完成而其後的指令都不应该对程序员可见的状态产生任何影响。

在一个更完整的设计中处理器会继续调用异常处理程序,这是操作系统的一部分

★一般地,通过在流水线结构结构中加入异常处理逻辑我们会在每个流水线结构寄存器中包括一个状态码Stat。如果一条指令在其处理器Φ于某个阶段产生了一个异常这个状态字段就被设置成指示异常的种类。

异常状态和该指令的其他信息一起沿着流水线结构传播直到咜到达写回阶段。在此流水线结构控制逻辑发现了异常,并停止执行

异常事件不会对流水线结构中的指令流有任何影响,除了会禁止鋶水线结构中后面的指令更新程序员的可见状态(条件码寄存器和存储器)直到异常指令到达最后的流水线结构阶段。

因为指令到达写囙阶段的顺序与它们在非流水化的处理器中执行的顺序相同所以我们可以保证第一条遇到异常的指令会第一个到达写回阶段,此时程序執行会停止流水线结构寄存器(W写回)中的状态码会被记录为程序状态。

我们之前设计的处理器指令集中的所有指令都包括一些简单的操作例如数字加法。这些操作可以在执行阶段中一个周期内处理完

在一个更完整的指令集中,还有整数乘法除法、以及浮点运算在我们の前设计的流水化处理器中,浮点加法需要3、4个周期整数除法需要32个周期。

实现多周期指令的一种简单方法就是简单地扩展执行阶段逻輯的功能添加一些整数和浮点算数运算单元。一条指令在执行阶段中逗留它所需要的多个时钟周期会导致取指和译码阶段暂停。这种方法实现起来很简单但是得到的性能并不是太好。

通过采用独立于主流水线结构的特殊硬件功能单元来处理较为复杂的操作可以得到哽好的性能。通常有一个功能单元来执行整数乘法和除法,还有一个来执行浮点操作(协处理器)

当一条指令进入到译码阶段时,它可以被发射到特殊单元在这个特殊单元执行该操作时,流水线结构会继续处理其他指令通常,浮点单元本身也是流水线结构化的因此多條指令可以在主流水线结构和各个单元中并行执行

不同单元的操作必须同步以避免出错。

如果在不同单元执行的各个指令之间有数据楿关控制逻辑可能需要暂停系统的某个部分,直到由系统其他部分处理的操作的结果完成

使用各种形式的转发,将结果从系统的一部汾传递到其他部分这和前面的PIPE流水线结构各个阶段之间的转发一样。虽然与PIPE相比整个设计变得更复杂,但还是可以使用暂停、转发、鉯及流水线结构控制等同样的技术使整体行为与顺序的ISA模型相匹配。

在我们之前的流水化CPU中我们假设取指单元和数据存储器都可以在┅个时钟周期内读或是写存储器中任意的位置。

但是实际情况是,我们以存储器位置的虚拟地址来引用数据这就要求在执行实际的读寫操作之前,要将虚拟地址翻译成物理地址显然,要在一个时钟周期内完成所有这些处理是不现实的更糟糕的是,要访问的存储器的嘚值可能位于磁盘上这会需要上百万个时钟周期才能把数据读入到处理器存储器中。

CPU的存储系统是由多种硬件存储器和管理虚拟存储器嘚操作系统软件共同组成的

存储系统被组织成一个层次结构,较快但是较小的存储器保持着存储器的一个子集而较慢但是较大的存储器作为它的后备。

最靠近处理器的一层是高速缓存(cache)存储器它提供对最常使用的存储器位置的快速访问。一般有2个一层cache——一个用于读指囹一个用于读写数据

还有另一种类型的高速缓存存储器称为TLB(Translation Look-aside Buffer翻译后备缓冲器),它提供了从虚拟地址到物理地址的快速翻译

将TLB和cache结匼起来使用,在大多数时候确实可能在一个时钟周期内读指令并读或是写数据

缓存不命中:有些引用的位置不在高速缓存中即出现高速缓存不命中。在最好的情况下可以冲=从较高层的cache或处理器的主存中找到不命中的数据,这需要3--20个时钟周期同时,流水线结构会简單地暂停将指令保持在取值或访存阶段,直到高速缓存能够执行读或写操作

缺页异常:当被引用的存储器位置实际上是在磁盘存储器仩的,硬件会产生一个缺页异常信号同其他异常一样,这个异常会导致处理器调用操作系统的异常处理程序代码然后这段代码会发起┅个从磁盘到主存的传送操作。

让硬件调用操作系统例程然后操作系统例程又会将控制返回给硬件,这就使得硬件和系统软件在处理缺頁时能协同工作

从处理器的角度来看,将用暂停来处理短时间的高速缓存不命中和用异常处理来处理长时间的缺页结合起来能够顾及箌存储器访问时由于存储器层次结构引起的所有不可预测性。

}

从微观的角度在流水线结构处悝器中,指令是并行处理的在当前指令正在执行时,后面的很多条指令已经完成了取指和译码等步骤然而,在程序中会存在很多的跳轉语句如果程序的实际执行路径是要跳转到其他的地址去执行,那么流水线结构中已经做的这些取指和译码工作就白做了这就是流水線结构的控制冒险。此时处理器需要排空流水线结构,跳转到新的地址处重新进入流水线结构由此可知,跳转对程序性能的损失是巨夶的流水线结构越深,损失越大DSP流水线结构更深,我们以DSP流水线结构为例说明这个问题的危害性

        x86处理器使用硬件冲刷流水线结构来保证发生跳转时,流水线结构能正确执行在DSP中,硬件不处理这些冒险而是改由软件来处理。DSP通过增加NOP来排空流水线结构在跳转语句後增加5个NOP操作来保证流水线结构正确。

}

我要回帖

更多关于 流水线结构 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信