这段verilog语言基本语句代码是什么意思?

初学者对这段verilog代码有些不懂?求大牛解答。
[问题点数:20分]
本版专家分:0
结帖率 50%
CSDN今日推荐
匿名用户不能发表回复!|
其他相关推荐急!谁帮我看一下这段verilog代码什么意思?_百度知道
急!谁帮我看一下这段verilog代码什么意思?
正在学习中,谁能解释下这段程序什么意思?越详细越好~~感激不尽!
always @ (posedge clk or negedge rst_n) //don't understand
if(!rst_n)
send_req_0 &= 0;
send_req_1 &= 0;
send_req_2 &= 0;
我有更好的答案
always @ (posedge clk or negedge rst_n) 意思是在时钟的上升沿或复位的下降沿会执行下面的操作begin if(!rst_n)
如果复位(RST_N这个信号为低电平) 那么下面三个信号为0
send_req_0 &= 0;
send_req_1 &= 0;
send_req_2 &= 0;
否则(RST_N这个信号不为低电平) 那么下面三个信号赋三个不同的值
send_req_0 &= send_
send_req_1 &= send_req_0;
send_req_2 &= send_req_1;
endendassign pos_send_req = send_req_1 & (~send_req_2);
这个跟上面的always 块是分开的,是pos_send_req 信号的值为send_req_1 & (~send_req_2)
我想问一下always块中的代码的意义是什么?对下面的pos_send_req的影响是什么?
always块中就是两个不同情况下给send_req_0/1/2赋不同的值
对pos_send_req没有影响
采纳率:38%
为您推荐:
其他类似问题
verilog的相关知识
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。verilog语言,请问这段代码实现什么功能啊_百度知道
verilog语言,请问这段代码实现什么功能啊
我有更好的答案
看样子是用数码管显示rom中的数据,由于an、a_g定义不明,只能分析到这了
采纳率:59%
为您推荐:
其他类似问题
verilog的相关知识
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。显示屏点不亮,这段VGA驱动条纹显示VERILOG代码有什么问题嘛? - FPGA|CPLD|ASIC论坛 -
中国电子技术论坛 -
最好最受欢迎电子论坛!
后使用快捷导航没有帐号?
林超文手把手教你学!
教你1000种电路设计思路
张飞硬件电路之PFC全集
参与免费送VIP+原创视频
运放、ADC、电磁兼容
显示屏点不亮,这段VGA驱动条纹显示VERILOG代码有什么问题嘛?
等待验证会员
01:01:36  
根据黑金原代码改的,黑金原代码是可以点亮的,因为写了几段VGA都点不亮,想简单改改测试一下,这样子都搞不定,太伤心了!谢谢大神!module VGA(
& && &&&input clk,& && && && &//像素时钟输入,P的像素时钟为74.25
& && &&&input rst,& && && & //复位,高有效
& && &&&output vga_clk_out,
& && &&&output hs,& && && && &//行同步、高有效
& && &&&output vs,& && && && &//场同步、高有效
& && &&&output de,& && && && &//数据有效
& && &&&output[7:0] rgb_r,& & //像素数据、红色分量
& && &&&output[7:0] rgb_g,& & //像素数据、绿色分量
& && &&&output[7:0] rgb_b& &&&//像素数据、蓝色分量
wire clk_27m;
PLL& && &&&PLL_inst (
& && &&&.inclk0 ( clk ),
& && &&&.c0 ( clk_27m )
& && &&&);
wire vga_& && &&&//74.25M
PLL_74& && &&&PLL_74_inst (
& && &&&.inclk0 ( clk_27m ),
& && &&&.c0 ( vga_clk )
& && &&&);
assign vga_clk_out=vga_
/*********视频时序参数定义******************************************/
parameter H_ACTIVE = 16'd1280;&&//行有效长度(像素时钟周期个数)
parameter H_FP = 16'd110;& && & //行同步前肩长度
parameter H_SYNC = 16'd40;& && &//行同步长度(回扫长度)
parameter H_BP = 16'd220;& && & //行同步后肩长度
parameter V_ACTIVE = 16'd720;& &//场有效长度(行的个数)
parameter V_FP& && && &= 16'd5;& && &&&//场同步前肩长度
parameter V_SYNC&&= 16'd5;& && &//场同步长度(回扫长度)
parameter V_BP& && &&&= 16'd20;& && & //场同步后肩长度
parameter H_TOTAL = H_ACTIVE + H_FP + H_SYNC + H_BP;//行总长度
parameter V_TOTAL = V_ACTIVE + V_FP + V_SYNC + V_BP;//场总长度
/*********彩条RGB color bar颜色参数定义*****************************/
parameter WHITE_R& && && && && &&&= 8'
parameter WHITE_G& && && && && &&&= 8'
parameter WHITE_B& && && && && &&&= 8'
parameter YELLOW_R& && && && && &&&= 8'
parameter YELLOW_G& && && && && &&&= 8'
parameter YELLOW_B& && && && && &&&= 8'h00;& && && && && && && && && && && && &&&
parameter CYAN_R& && && && && &&&= 8'h00;
parameter CYAN_G& && && && && &&&= 8'
parameter CYAN_B& && && && && &&&= 8'& && && && && && && && && && && && &
parameter GREEN_R& && && && && &&&= 8'h00;
parameter GREEN_G& && && && && &&&= 8'
parameter GREEN_B& && && && && &&&= 8'h00;
parameter MAGENTA_R& && && &= 8'
parameter MAGENTA_G& && && &= 8'h00;
parameter MAGENTA_B& && && &= 8'
parameter RED_R& && && && && &&&= 8'
parameter RED_G& && && && && &&&= 8'h00;
parameter RED_B& && && && && &&&= 8'h00;
parameter BLUE_R& && && && && &&&= 8'h00;
parameter BLUE_G& && && && && &&&= 8'h00;
parameter BLUE_B& && && && && &&&= 8'
parameter BLACK_R& && && && && &&&= 8'h00;
parameter BLACK_G& && && && && &&&= 8'h00;
parameter BLACK_B& && && && && &&&= 8'h00;
reg hs_//定义一个寄存器,用于行同步
reg vs_//定义一个寄存器,用户场同步
reg hs_reg_d0;//hs_reg一个时钟的延迟
& && && && &&&//所有以_d0、d1、d2等为后缀的均为某个寄存器的延迟
reg vs_reg_d0;//vs_reg一个时钟的延迟
reg[11:0] h_//用于行的计数器
reg[11:0] v_//用于场(帧)的计数器
reg[11:0] active_x;//有效图像的的坐标x
reg[11:0] active_y;//有效图像的坐标y
reg[7:0] rgb_r_//像素数据r分量
reg[7:0] rgb_g_//像素数据g分量
reg[7:0] rgb_b_//像素数据b分量
reg h_//行图像有效
reg v_//场图像有效
wire video_//一帧内图像的有效区域h_active & v_active
reg video_active_d0;
assign hs = hs_reg_d0;
assign vs = vs_reg_d0;
assign video_active = h_active & v_
assign de = video_active_d0;
assign rgb_r = rgb_r_
assign rgb_g = rgb_g_
assign rgb_b = rgb_b_
always@(posedge vga_clk or posedge rst)
& && &&&if(rst)
& && && && && & begin
& && && && && && && && &hs_reg_d0 &= 1'b0;
& && && && && && && && &vs_reg_d0 &= 1'b0;
& && && && && && && && &video_active_d0 &= 1'b0;
& && && && && & end
& && &&&else
& && && && && & begin
& && && && && && && && &hs_reg_d0 &= hs_
& && && && && && && && &vs_reg_d0 &= vs_
& && && && && && && && &video_active_d0 &= video_
& && && && && & end
always@(posedge vga_clk or posedge rst)
& && &&&if(rst)
& && && && && & h_cnt &= 12'd0;
& && &&&else if(h_cnt == H_TOTAL - 1)//行计数器到最大值清零
& && && && && & h_cnt &= 12'd0;
& && &&&else
& && && && && & h_cnt &= h_cnt + 12'd1;
always@(posedge vga_clk or posedge rst)
& && &&&if(rst)
& && && && && & active_x &= 12'd0;
& && &&&else if(h_cnt &= H_FP + H_SYNC + H_BP - 1)//计算图像的x坐标
& && && && && & active_x &= h_cnt - (H_FP[11:0] + H_SYNC[11:0] + H_BP[11:0] - 12'd1);
& && &&&else
& && && && && & active_x &= active_x;
always@(posedge vga_clk or posedge rst)
& && &&&if(rst)
& && && && && & v_cnt &= 12'd0;
& && &&&else if(h_cnt == H_FP&&- 1)//在行数计算器为H_FP - 1的时候场计数器+1或清零
& && && && && & if(v_cnt == V_TOTAL - 1)//场计数器到最大值了,清零
& && && && && && && && &v_cnt &= 12'd0;
& && && && && & else
& && && && && && && && &v_cnt &= v_cnt + 12'd1;//没到最大值,+1
& && &&&else
& && && && && & v_cnt &= v_
always@(posedge vga_clk or posedge rst)
& && &&&if(rst)
& && && && && & hs_reg &= 1'b0;
& && &&&else if(h_cnt == H_FP - 1)//行同步开始了...
& && && && && & hs_reg &= 1'b1;
& && &&&else if(h_cnt == H_FP + H_SYNC - 1)//行同步这时候要结束了
& && && && && & hs_reg &= 1'b0;
& && &&&else
& && && && && & hs_reg &= hs_
always@(posedge vga_clk or posedge rst)
& && &&&if(rst)
& && && && && & h_active &= 1'b0;
& && &&&else if(h_cnt == H_FP + H_SYNC + H_BP - 1)
& && && && && & h_active &= 1'b1;
& && &&&else if(h_cnt == H_TOTAL - 1)
& && && && && & h_active &= 1'b0;
& && &&&else
& && && && && & h_active &= h_
always@(posedge vga_clk or posedge rst)
& && &&&if(rst)
& && && && && & vs_reg &= 1'd0;
& && &&&else if((v_cnt == V_FP - 1) && (h_cnt == H_FP - 1))//x:严格按照时序图,定义行同步信号时,hsync和vsync时序结合
& && && && && & vs_reg &= 1'b1;
& && &&&else if((v_cnt == V_FP + V_SYNC - 1) && (h_cnt == H_FP - 1))
& && && && && & vs_reg &= 1'b0;& && &&&
& && &&&else
& && && && && & vs_reg &= vs_
always@(posedge vga_clk or posedge rst)
& && &&&if(rst)
& && && && && & v_active &= 1'd0;
& && &&&else if((v_cnt == V_FP + V_SYNC + V_BP - 1) && (h_cnt == H_FP - 1))
& && && && && & v_active &= 1'b1;
& && &&&else if((v_cnt == V_TOTAL - 1) && (h_cnt == H_FP - 1))
& && && && && & v_active &= 1'b0;& && &&&
& && &&&else
& && && && && & v_active &= v_
always@(posedge vga_clk or posedge rst)
& && &&&if(rst)
& && && && && & begin
& && && && && && && && &rgb_r_reg &= 8'h00;
& && && && && && && && &rgb_g_reg &= 8'h00;
& && && && && && && && &rgb_b_reg &= 8'h00;
& && && && && & end
& && &&&else if(video_active)
& && && && && & if(active_x == 12'd0)//从0~(H_ACTIVE/8) * 1)显示白色
& && && && && && && && &begin
& && && && && && && && && && &&&rgb_r_reg &= WHITE_R;
& && && && && && && && && && &&&rgb_g_reg &= WHITE_G;
& && && && && && && && && && &&&rgb_b_reg &= WHITE_B;
& && && && && && && && &end
& && && && && & else if(active_x == (H_ACTIVE/8) * 1)
& && && && && && && && &begin
& && && && && && && && && && &&&rgb_r_reg &= YELLOW_R;
& && && && && && && && && && &&&rgb_g_reg &= YELLOW_G;
& && && && && && && && && && &&&rgb_b_reg &= YELLOW_B;
& && && && && && && && &end& && && && && && && && &
& && && && && & else if(active_x == (H_ACTIVE/8) * 2)
& && && && && && && && &begin
& && && && && && && && && && &&&rgb_r_reg &= CYAN_R;
& && && && && && && && && && &&&rgb_g_reg &= CYAN_G;
& && && && && && && && && && &&&rgb_b_reg &= CYAN_B;
& && && && && && && && &end
& && && && && & else if(active_x == (H_ACTIVE/8) * 3)
& && && && && && && && &begin
& && && && && && && && && && &&&rgb_r_reg &= GREEN_R;
& && && && && && && && && && &&&rgb_g_reg &= GREEN_G;
& && && && && && && && && && &&&rgb_b_reg &= GREEN_B;
& && && && && && && && &end
& && && && && & else if(active_x == (H_ACTIVE/8) * 4)
& && && && && && && && &begin
& && && && && && && && && && &&&rgb_r_reg &= MAGENTA_R;
& && && && && && && && && && &&&rgb_g_reg &= MAGENTA_G;
& && && && && && && && && && &&&rgb_b_reg &= MAGENTA_B;
& && && && && && && && &end
& && && && && & else if(active_x == (H_ACTIVE/8) * 5)
& && && && && && && && &begin
& && && && && && && && && && &&&rgb_r_reg &= RED_R;
& && && && && && && && && && &&&rgb_g_reg &= RED_G;
& && && && && && && && && && &&&rgb_b_reg &= RED_B;
& && && && && && && && &end
& && && && && & else if(active_x == (H_ACTIVE/8) * 6)
& && && && && && && && &begin
& && && && && && && && && && &&&rgb_r_reg &= BLUE_R;
& && && && && && && && && && &&&rgb_g_reg &= BLUE_G;
& && && && && && && && && && &&&rgb_b_reg &= BLUE_B;
& && && && && && && && &end& && &&&
& && && && && & else if(active_x == (H_ACTIVE/8) * 7)
& && && && && && && && &begin
& && && && && && && && && && &&&rgb_r_reg &= BLACK_R;
& && && && && && && && && && &&&rgb_g_reg &= BLACK_G;
& && && && && && && && && && &&&rgb_b_reg &= BLACK_B;
& && && && && && && && &end
& && && && && & else
& && && && && && && && &begin
& && && && && && && && && && &&&rgb_r_reg &= rgb_r_
& && && && && && && && && && &&&rgb_g_reg &= rgb_g_
& && && && && && && && && && &&&rgb_b_reg &= rgb_b_
& && && && && && && && &end& && && && && && && && &
& && &&&else
& && && && && & begin
& && && && && && && && &rgb_r_reg &= 8'h00;
& && && && && && && && &rgb_g_reg &= 8'h00;
& && && && && && && && &rgb_b_reg &= 8'h00;
& && && && && & end
林超文手把手教你学!
教你1000种电路设计思路
张飞硬件电路之PFC全集
参与免费送VIP+原创视频
运放、ADC、电磁兼容
Powered by
供应链服务
版权所有 (C) 深圳华强聚丰电子科技有限公司1添加评论分享收藏感谢收起赞同 1添加评论分享收藏感谢收起写回答}

我要回帖

更多关于 verilog语言基本语句 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信