八位抢答器的归纳逻辑程序设计代码,代码,求助!!!

课程设计任务书8(抢答器控制系统程序设计一)_百度文库
您的浏览器Javascript被禁用,需开启后体验完整功能,
赠送免券下载特权
10W篇文档免费专享
部分付费文档8折起
每天抽奖多种福利
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
课程设计任务书8(抢答器控制系统程序设计一)
阅读已结束,下载本文需要
想免费下载本文?
定制HR最喜欢的简历
你可能喜欢单片机八人抢答器程序设计,求用C51的版本。_百度知道
单片机八人抢答器程序设计,求用C51的版本。
利用KEILC51仿真软件分别编制控制程序。
利用proteus仿真软件,绘制单片机控制系统,P1口接8个按钮,分别代表8个小组,各小组编号为1、2、3、。。。 7、8;P3.7接主持人“开始或复位”按钮;P0口接一个数码管(共阳极);P2口接8个LED灯,用于对应8个...
我有更好的答案
班导已死,小事烧纸,大事招魂,急事挖粪。。。邮箱查收。。。
采纳率:38%
#include&reg51.h&#define uchar unsigned charuchar table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f};sbit rstkey=P3^7;main(){uchar i,while(1){P2=0i=0;P0=table[i];while(1){key=P1;if(key!=0xff)}switch(~key){case 0x01:i=1;case 0x02:i=2;case 0x04:i=3;case 0x08:i=4;case 0x10:i=5;case 0x20:i=6;case 0x40:i=7;case 0x80:i=8;default:}P0=table[i];//显示按下抢答器的号P2=//显示按下键号的LEDwhile(1){if(rstkey==0)//主持人按下复位键则重新开始}}}
好的,求教导。求程序。
我QQ 加我私聊
为您推荐:
其他类似问题
抢答器的相关知识
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。用PLC程序设计的八路抢答器_百度文库
您的浏览器Javascript被禁用,需开启后体验完整功能,
赠送免券下载特权
10W篇文档免费专享
部分付费文档8折起
每天抽奖多种福利
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
用PLC程序设计的八路抢答器
&&PLC 课程改革 项目教学 编程 抢答器
阅读已结束,下载本文需要
想免费下载更多文档?
定制HR最喜欢的简历
下载文档到电脑,同时保存到云知识,更方便管理
加入VIP
还剩1页未读,
定制HR最喜欢的简历
你可能喜欢您的位置: >
+ (0) + (0)
  汉字点阵显示VHDL源程序
  use ieee.std_logic_1164.
  use ieee.std_logic_unsigned.
  use ieee.std_logic_arith.
  enty hzxs is
  port(clk1,clk2:in std_
  rd:out std_
  we: out std_
  ledw:out std_logic_vector(2 downto 0);
  d:out std_logic_vector(0 downto 7));
  architecture hav of hzxs is
  signal count:std_logic_vector(0 to 2);
  signal a: std_logic_vector(3 downto 0);
  process(clk2)
  if clk2&event and clk2=&1& then
  count《=count+1;
  ledw《=
  a(2 downto 0)《=
  process(clk1)
  if clk1&event and clk1=&1& then
  a(3)《=not a(3);
  process(a)
  case a is
  when&0000&=》d《=&&;--数
  when&0001&=》d《=&&;
  when&0010&=》d《=&&;
  when&0011&=》d《=&&;
  when&0100&=》d《=&&;
  when&0101&=》d《=&&;
  when&0110&=》d《=&&;
  when&0111&=》d《=&&;
  when&1000&=》d《=&&;--字
  when&1001&=》d《=&&;
  when&1010&=》d《=&&;
  when&1011&=》d《=&&;
  when&1100&=》d《=&&;
  when&1101&=》d《=&&;
  when&1110&=》d《=&&;
  when&1111&=》d《=&&;
  when others=》d《=&&;
  rd《=&1&;
  we《=&0&;
不好我反对
汉字点阵显示与数字抢答器的VHDL程序设计(源代码分享)下载
相关电子资料下载
评价:好评中评差评
技术交流、我要发言
发表评论,获取积分! 请遵守相关规定!提 交
Copyright &
elecfans.com.All Rights Reserved您所在位置: &
&nbsp&&nbsp&nbsp&&nbsp
八路抢答器数电程序设计.doc 21页
本文档一共被下载:
次 ,您可全文免费在线阅读后下载本文档。
下载提示
1.本站不保证该用户上传的文档完整性,不预览、不比对内容而直接下载产生的反悔问题本站不予受理。
2.该文档所得收入(下载+内容+预览三)归上传者、原创者。
3.登录后可充值,立即自动返金币,充值渠道很便利
你可能关注的文档:
··········
··········
设计任务书 2
1.1 设计目的 2
1.2 设计任务 2
1.3 八路抢答器的概述 2
系统功能简介 2
2.1 基本功能 2
2.2 扩展功能 2
功能设计内容 2
3.1 设计题目 2
3.2 设计指标 2
实现原理 2
4.1 八路抢答器总体方框图 2
4.2 关键技术分析 2
各部分电路设计 2
5.1 抢答器电路 2
5.2 定时电路 2
5.3 报警电路 2
5.4 时序控制电路 2
设计步骤 2
系统仿真 2
参 考 文 献 2
附录A: 系统元器件清单 2
附录B: 系统整体电路 2
八路抢答器由主体电路和扩展电路组成,优先编码电路、锁存器、译码电路将输入信号在显示屏上输出;由控制电路和主持人开关启动报警电路。通过定时电路和译码电路将秒脉冲产生的信号在显示屏上输出实现计时功能,构成扩展电路。通过连线、调试等工作形成八路抢答器。
关键字:抢答电路,定时电路,报警电路
设计任务书
1.1 设计目的
1.巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能力。
2.培养根据课题需要选学参考书籍,查阅手册、图表和文献资料的自学能力。通过独立思考,深入钻研有关问题,学会自己分析并解决问题的方法。
3.通过电路方案的分析、论证和比较,设计计算和选取元器件初步掌握简单实用电路的分析方法和工程设计方法。
4.了解与课题有关的电子电路以及元器件的工程技术规范,能按设计任务书的要求,完成设计任务,编写设计说明书,正确地反映设计与实验的成果,正确地绘制电路图等。
5.培养严肃、认真的工作作风和科学态度。通过课程设计实践,逐步建立正确的生产观点、经济观点和全局观点。
1.2 设计任务
设计一个供八名选手参加的八路抢答器,每组设计一个抢答按钮供参加者使用。电路具有第一抢答信号的鉴别和锁定存储功能。在主持人将系统复位并发出抢答指令后,如果参赛者在第一时间按抢答按钮,则按钮指示灯灯亮并用组别电路显示出抢答者的组别。同时扬声器发出声音。电路应具有自锁功能,使其他组不起作用。
1.3 八路抢答器的概述
本文介绍的八路抢答器具有电路简单、成本较低、操作方便、灵敏可靠等优点,使用效果好,有广泛推广价值。可用于八组或八组以下的抢答竞赛中。比赛前将参赛组从1到8编组,每组发给一个对应的抢答按钮。主持人按一下总控制启动键后,抢答开始。此后,哪一组最先按下抢答按钮,数码显示器就立即显示该组的组号并锁定,同时发出声响。此后,按下任一路抢答按钮均无反应。只有主持人再次按下启动按钮后,才能进行下一次抢答。
系统功能简介
2.1 基本功能
1.抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。2.设置一个系统清除和抢答控制开关S,该开关由主持人控制。3.抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,扬声器发出声响提示,并在DPY_7-SEG七段数码管上显示选手号码。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。1.抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。当主持人启动"开始"键后,定时器进行减计时。
2参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。在这段(3) 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。1.供四名选手用,有抢答按钮.有编号,有主持人清零按钮;
2.具有锁存功能并显示出来,在主持人清零后,若有参赛选手按按钮,应立即显示出最先动作的选手的编号,同时有发生器发出声音,声音持续约1秒钟;
3.抢答器对各参赛选手动作的先后有很强的分辨能力,即只显示先动选手的编号,直到主持人清零为止;
4.主持人在正常(无人抢答)时能清零;
5.主持人在宣布开始5秒钟后,若无选手抢答,则音响器件发声而结束。
4.1 八路抢答器总体方框图
如图1所示为总体方框图。其工作原理为:接通电源后,主持人将开关拨到"清零"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置;开始"状态,宣布"开始"抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。
电路选用优先编码器 74LS148 和锁存器 74LS297 来完成。该电路主要完成两个功能:一是分辨出选手按键的先后,并锁存优先抢
正在加载中,请稍后...}

我要回帖

更多关于 归纳逻辑程序设计代码 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信