求助,我的双向移位寄存器工作原理怎么不能仿真

计数器和移位寄存器设计仿真实验报告._图文_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
计数器和移位寄存器设计仿真实验报告.
&&计数器和移位寄存器设计仿真实验报告,电子工程类使用
阅读已结束,下载文档到电脑
想免费下载本文?
定制HR最喜欢的简历
下载文档到电脑,方便使用
还剩5页未读,继续阅读
定制HR最喜欢的简历
你可能喜欢君,已阅读到文档的结尾了呢~~
主要介绍了四位移位寄存器的设计和仿真,而且是使用Tanner13来做的,仿真过程包括了功耗分析,上升时间下降时间,延迟时间,最高频率,和温度分析。
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
四位移位寄存器的仿真和设计
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='/DocinViewer-.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口移位寄存器的Multisim仿真--《现代电子技术》2010年22期
移位寄存器的Multisim仿真
【摘要】:介绍用Multisim仿真软件分析移位寄存器逻辑功能的方法,验证了4-D触发器构成的移位寄存器的逻辑功能。用Multisim仿真软件中的字组产生器产生的信号作为移位寄存器的时钟脉冲和输入数据,字组内容反映移位寄存器的输入信号和控制信号,用Multisim中的逻辑分析仪多踪同步显示各输入信号、控制信号和输出信号波形,直观地描述移位寄存器的工作过程。所述方法创新地解决了移位寄存器工作过程无法用实验仪器验证的问题。
【作者单位】:
【基金】:
【分类号】:TN79【正文快照】:
0引言寄存器是计算机和其他数字系统中用来储存代码或数据的逻辑部件[1-3],它的主要组成部分是触发器。有时为了处理数据,需要将寄存器中的各位数据在移位控制信号作用下,依次向高位或低位移动1位,具有移位功能的寄存器称为移位寄存器[2]。常规的硬件实验测试移位寄存器逻辑
欢迎:、、)
支持CAJ、PDF文件格式,仅支持PDF格式
【引证文献】
中国期刊全文数据库
刘允峰;;[J];现代电子技术;2012年10期
【参考文献】
中国期刊全文数据库
任骏原;;[J];电气电子教学学报;2009年04期
张晶,李心广;[J];计算机仿真;2005年05期
陈先荣;;[J];实验技术与管理;2006年10期
习晓远;[J];实验室研究与探索;2002年02期
【共引文献】
中国期刊全文数据库
王斌;;[J];安徽电气工程职业技术学院学报;2006年01期
胡亚波;;[J];安徽电子信息职业技术学院学报;2006年01期
胡恩;;[J];安徽科技;2012年02期
任杰;杨晓京;朱锐;刘月;王督;;[J];安徽农业科学;2010年06期
张平娟;陈娟;丁西明;;[J];安徽科技学院学报;2010年02期
唐飞;王鹏;;[J];安庆师范学院学报(自然科学版);2006年04期
陈小林;程万胜;刘江涛;;[J];辽宁科技大学学报;2010年05期
孙宇尖;;[J];鞍山师范学院学报;2011年04期
聂思敏;王怀宽;;[J];安顺学院学报;2011年03期
李春杨;;[J];安徽水利水电职业技术学院学报;2006年02期
中国重要会议论文全文数据库
饶远;戴巧琪;楼刚;;[A];2011年浙江省医学会医学工程学分会第九届学术年会论文汇编[C];2011年
丁伟;关宇;马丽梅;吴元亮;;[A];中国电子教育学会高教分会2012年论文集[C];2012年
安马杰;郭书军;;[A];中国电子学会第十五届信息论学术年会暨第一届全国网络编码学术年会论文集(下册)[C];2008年
杨坤;;[A];第一届中国微电子计量与测试技术研讨会论文集[C];2008年
王传新;廖文江;;[A];2007年全国高等学校电子技术研究会论文集[C];2007年
王传新;耿钰;;[A];2007年全国高等学校电子技术研究会论文集[C];2007年
沈小丽;潘兰芳;施阁;吴霞;;[A];全国高等学校电子技术研究会2008年年会论文集[C];2008年
洪海丽;;[A];全国高等学校电子技术研究会2008年年会论文集[C];2008年
吕伟杰;周跃庆;;[A];全国高等学校电子技术研究会2008年年会论文集[C];2008年
黄震;韩佩富;常丹华;张宝荣;卢启柱;;[A];全国高等学校电子技术研究会论文集[C];2009年
中国博士学位论文全文数据库
刘成康;[D];重庆大学;2001年
李迪;[D];哈尔滨工程大学;2005年
中国硕士学位论文全文数据库
罗小军;[D];山东农业大学;2010年
汤继伟;[D];哈尔滨工程大学;2010年
瞿宗武;[D];哈尔滨工程大学;2010年
朱武增;[D];哈尔滨工程大学;2010年
张宝霞;[D];合肥工业大学;2010年
曹艳玲;[D];北京交通大学;2011年
彭开来;[D];北京交通大学;2010年
洪武;[D];安徽大学;2010年
孟祥斌;[D];沈阳建筑大学;2011年
肖友平;[D];西南石油大学;2010年
【同被引文献】
中国期刊全文数据库
李文秀;刘春艳;梁斌;李钊年;;[J];青海大学学报(自然科学版);2010年06期
朱华光;;[J];现代电子技术;2010年15期
腾香;;[J];现代电子技术;2010年20期
吕曙东;;[J];现代电子技术;2010年22期
马敬敏;;[J];现代电子技术;2011年03期
于波;吕秀丽;李玉爽;;[J];现代电子技术;2011年10期
曹鸿霞;冒晓莉;张加宏;王友保;;[J];现代电子技术;2011年14期
孙津平;;[J];现代电子技术;2011年15期
【二级引证文献】
中国期刊全文数据库
唐金元;王翠珍;;[J];国外电子测量技术;2012年10期
【二级参考文献】
中国期刊全文数据库
许文玉,冯威;[J];电气电子教学学报;2001年06期
任骏原;李明标;李弋;董海宽;;[J];电气电子教学学报;2006年01期
习晓远,袁庆祝;[J];实验技术与管理;2000年05期
王月香,马瑞霞,杨连祥,李秀玲;[J];实验技术与管理;2002年02期
毛剑波,易茂祥,王墨林;[J];实验技术与管理;2005年09期
黄洪琼;[J];实验技术与管理;2005年10期
蒋琴仙;[J];实验室研究与探索;2001年03期
刘灿涛,赵伟,侯国屏;[J];实验室研究与探索;2001年05期
尚书安,于善启,阮世敏;[J];实验室研究与探索;1999年02期
卢庆林;;[J];现代教育技术;2007年05期
【相似文献】
中国期刊全文数据库
王尔乾;[J];电子技术应用;1980年05期
张洪斌;;[J];电子技术应用;1985年06期
;[J];半导体光电;1992年02期
袁博鲁;[J];微电子学;1996年05期
郭连喜;王美妮;赵伟;;[J];大连水产学院学报;2006年02期
朱耀东;;[J];计算机工程;2006年15期
林涛;;[J];现代电子技术;2006年16期
徐艳蕾;焦玉斌;;[J];半导体技术;2009年09期
曾菊容;;[J];陇东学院学报;2011年02期
R.R莫莎;;[J];计算机研究与发展;1965年07期
中国重要会议论文全文数据库
王白露;杜高明;宋宇鲲;张多利;;[A];2008’“先进集成技术”院士论坛暨第二届仪表、自动化与先进集成技术大会论文集[C];2008年
燕芳;;[A];中国计量协会冶金分会2008年会论文集[C];2008年
燕芳;;[A];2008全国第十三届自动化应用技术学术交流会论文集[C];2008年
许小明;王效忠;贾向军;隋洪志;刘大鸣;刘功发;;[A];第十届全国核电子学与核探测技术学术年会论文集[C];2000年
吕建工;王咏梅;付利平;;[A];中国空间科学学会空间探测专业委员会第十八次学术会议论文集(上册)[C];2005年
申恒广;洪晓华;易真龙;刘庆伟;;[A];2004全国测控、计量与仪器仪表学术年会论文集(下册)[C];2004年
丁玄;周晨;;[A];2006通信理论与技术新进展——第十一届全国青年通信学术会议论文集[C];2006年
张常年;高小飞;姜岩峰;;[A];2006中国电工技术学会电力电子学会第十届学术年会论文摘要集[C];2006年
刘杰;梁华国;;[A];全国第13届计算机辅助设计与图形学(CAD/CG)学术会议论文集[C];2004年
王煜;戴乐山;;[A];第二届全国光散射学术会议论文集(上)[C];1983年
中国重要报纸全文数据库
王裕琛;[N];电子报;2005年
周宏霖;[N];电子报;2006年
白钰君;[N];电子报;2005年
康鸿;[N];电子报;2007年
西安 李成;[N];电子报;2010年
麦连城;[N];电子报;2007年
宋占坡;[N];电子报;2007年
陈科吉;[N];电子报;2006年
杨兴国;[N];电子报;2008年
杨义乾;[N];电子报;2007年
中国博士学位论文全文数据库
林竞力;[D];电子科技大学;2009年
王相生;[D];中国科学院上海冶金研究所;2001年
刘家胜;[D];安徽大学;2007年
李会银;[D];中国石油大学;2007年
杨波;[D];西北工业大学;2002年
朱士信;[D];合肥工业大学;2005年
中国硕士学位论文全文数据库
沈勇;[D];解放军信息工程大学;2004年
陆鑫;[D];北京工业大学;2009年
韦鹏程;[D];重庆大学;2004年
侯永飞;[D];南京航空航天大学;2008年
陈肯;[D];浙江大学;2007年
王恒;[D];华中科技大学;2007年
郭汉桥;[D];西南交通大学;2008年
王光;[D];西安电子科技大学;2002年
王焕平;[D];西安电子科技大学;2007年
吕彩霞;[D];北京交通大学;2006年
&快捷付款方式
&订购知网充值卡
400-819-9993您所在位置: &
&nbsp&&nbsp&nbsp&&nbsp
 设计8位双向移位寄存器电路.doc 15页
本文档一共被下载:
次 ,您可全文免费在线阅读后下载本文档。
下载提示
1.本站不保证该用户上传的文档完整性,不预览、不比对内容而直接下载产生的反悔问题本站不予受理。
2.该文档所得收入(下载+内容+预览三)归上传者、原创者。
3.登录后可充值,立即自动返金币,充值渠道很便利
需要金币:200 &&
你可能关注的文档:
··········
··········
1多功能双向移位寄存器 1
1.1基本工作原理 1
1.2 基本实现方案 1
2电路图设计 2
2.1 电路结构 2
2.2真值表 3
3移位寄存器的Verilog建模 3
3.1Verilog建模基础 4
3.2 8位双向移位寄存器Verilog描述 5
4程序仿真 6
5心得体会 8
参考文献 10
使用硬件描述语言Verilog,在EDA工具QuartussII中,对8位双向移位寄存器进行行为级描述,根据设计语言进行功能时序仿真,验证设计的正确性与可行性。通过本基本设计熟悉QuartusII环境下的硬件描述操作流程,掌握基本的Verilog语法与编写风格。
关键字:Verilog
移位寄存器
设计8位双向移位寄存器电路
1多功能双向移位寄存器
1.1基本工作原理
移位寄存器是基本的同步时序电路,基本的移位寄存器可以实现数据的串行/并行或并行/串行的转换、数值运算以及其他数据处理功能。但有时候需要对移位寄存器的数据流向加以控制,实现数据的双向移动,其中一个方向称为右移,另一个方向称为左移,这种移位寄存器就称为双向移位寄存器。
根据国家标准规定,逻辑图中的最低有效位(LSB)到最高有效位(MSB)的电路排列顺序应从上到下,从左到右。因此定义移位寄存器中的数据从低位触发器移向高位为右移,移向低位为左移。
为了扩展逻辑功能和增加使用的灵活性,某些双向移位寄存器集成电路产品又附加了并行输入、并行输出等功能。下图所示是上述几种工作模式的简化示意图。
图1-1 多功能移位寄存器工作模式简图
1.2 基本实现方案
图1-2所示是实现数据保持、右移、左移、并行置入和并行输出的一种电路方案。图中的D触发器是N为移位寄存器中的第m位触发器,在其数据输入端插入了一个4选1数据选择器,用2位编码输入控制,来选择触发器输入信号的来源。当时,选择该触发器本身输出的,次态为,使触发器保持状态不变;当时,触发器的输出被选中,故CP脉冲上升沿到来时,存入此前的逻辑值,即,而,从而实现右移功能;类似地,当时,选择,实现左移功能;而当时,则选中并行输入数据,其次态,从而完成并行数据的置入功能。上述四种操作概述于表1-1,此外,在各触发器的输入端,可以得到N位并行数据的输出。
图1-2 实现多功能双向移位寄存器的一种方案
表1-1 图1-2的功能表
控制信号 功能 控制信号 功能
0 0 保持 1 0 左移
0 1 右移 1 1 并行输入
2电路图设计
2.1 电路结构
根据上一节的移位寄存器的一种基本实现方案,可以设计出8位双向移位寄存器,完整电路图入图2-1所示。
此电路由8个4选1数据选择器、8个带异步清零的D触发器组成。所有的数据选择器编码端分别对应地接在一起,同时选择D触发器的信号数据来源。D触发器时钟端CP接一起,清零端也同样接在一起,这样可以保证级联D触发器的同步,和并行输出数据的清零。另,每个D触发器的输出对应一位并行输入。Dsr是右移串行数据输入端,Dsl是左移串行数据输入端,分别接最低有效位对应的数据选择器和最高有效位对应的数据选择器。
图2-1 8位双向移位寄存器
分析电路图,可得此8位双向移位寄存器的真值表,入下表所示:
表2-1 8位双向移位寄存器真值表
清零 控制信号 串行输入 时钟
CP 并行输入
S1 S0 Dsr Dsl
注:表示CP脉冲上升沿之前瞬间的电平
3移位寄存器的Verilog建模
3.1Verilog建模基础
硬件描述语言Verilog HDL类似于高级程序设计语言(如C语言等),它是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示更复杂的数字逻辑系统所完成的逻辑功能(即行为)。人们还可以用HDL编写设计说明文档,这种文档易于存储和修改,适用于不同的设计人员之间进行技术交流,还能被计算机识别和处理,计算机对于HDL的处理包括两个方面:逻辑仿真和逻辑综合。
逻辑仿真是指用计算机仿真软件对数字逻辑电路的结构和行为进行预测,仿真器对HDL描述进行解释,以文本形式或时序波形图形式给出电路的输出。在电路在实现之前,设计人员可以根据仿真结果初步判断电路的逻辑功能是否正确。在仿真期间,如果发现设计中存在错误,可以对HDL文件进行修改,直至满足设计要求为止。
在Verilog中,行为级描述主要使用由关键词ini
正在加载中,请稍后...
35页34页29页29页47页44页48页41页52页52页}

我要回帖

更多关于 verilog 移位寄存器 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信