求教,哪错了,pr输出之后都是黑屏的都是0 0

您现在所在的是:
三菱Mitsubishi
→ 浏览主题:
* 帖子主题:
文章数:210
年度积分:107
历史总积分:1401
注册时间:
如题,我有个三菱3U-64MT/ES-A的PLC,输入端有2组输出是直流24V 0V的接线端子(我通上220VPLC电源后测的)
& & 现在我想输入端用外接电源DC24V来做公共端,请问是不是PLC输入端那2组24V电源端子是PLC内部出来的24V电源? 我不要外接24V电源过去,直接把我外接电源+24接到S,然后0V接开关就可以了?NPN的光电开关。
看了很多说明书别人都是一组,而我2组,我以为一组是内部电源出来的,一组是给我外接电源,今天万用表一打2组都输出24V电压瞬间迷茫,求高手详细说明这2组24V 0V的关系,谢谢。
fumz78 版主
文章数:14192
年度积分:1879
历史总积分:28734
注册时间:
2017春节活动(二)
2016论坛优秀版主
2016国庆活动(二)
2015论坛优秀版主
2014论坛优秀版主
晒晒工控小礼品
2012论坛优秀版主
2011论坛贡献奖
2010年论坛优秀版主
08年最佳博客奖
FX3U-64的以及80点、128点的是两组0V和24V,那个是PLC内部输出的,提供给用户使用的,不用再外接电源了
PLC上相同标号的端子,内部是短接的
24V和S/S端子短接,就要接NPN信号;0V和S/S端子连接,接PNP型信号
三菱 PLC、触摸屏、伺服电机、变频器、数控系统
fumz78 版主
文章数:14192
年度积分:1879
历史总积分:28734
注册时间:
2017春节活动(二)
2016论坛优秀版主
2016国庆活动(二)
2015论坛优秀版主
2014论坛优秀版主
晒晒工控小礼品
2012论坛优秀版主
2011论坛贡献奖
2010年论坛优秀版主
08年最佳博客奖
三菱 PLC、触摸屏、伺服电机、变频器、数控系统
文章数:502
年度积分:73
历史总积分:2329
注册时间:
不管它有多少组你要是知道PLC输入点的内部电路就明白了!三菱的PLC输入有两种型式的!第一种是内部如下图所示!其公共点已经接了24v,所以外面只要接COM既0V输入点就形成了回路!这种输入有个缺点就是只能接两线式和NPN型传感器!
第二种如下图,因其内部没接24V,公共点外接S/S,这种既可接NPN又可以接PNP型传感器!但一个S/S回路中不可同时接两种传感器!(有些型号只能接NPN型)
其输出的24V是同一组,只不过分接两个端子而已!此帖发自手机工控论坛
文章数:210
年度积分:107
历史总积分:1401
注册时间:
回复 #1楼 fumz78
版主大大请问,我如果外接电源+24接S,然后用NPN开关可以吗?(NPN跟S同一个外接电源)。。PLC自带那2组内部电源我不接了。只要外部电源供电
文章数:210
年度积分:107
历史总积分:1401
注册时间:
谢谢您的回复,我想请教下,我不接PLC自带的2组内部电源,我自己外设开关电源的+24接到PLC的S端,然后用NPN开关这样可以吗?非常感谢
文章数:502
年度积分:73
历史总积分:2329
注册时间:
可以用的!如你所说+24接到PLC的S端,接NPN开关!如果0V接S端则接PNP开关!+6此帖发自手机工控论坛
www.xutengfei
文章数:817
年度积分:227
历史总积分:3400
注册时间:
以下是引用在 20:44:27的发言:
如题,我有个三菱3U-64MT/ES-A的PLC,输入端有2组输出是直流24V 0V的接线端子(我通上220VPLC电源后测的)
& & 现在我想输入端用外接电源DC24V来做公共端,请问是不是PLC输入端那2组24V电源端子是PLC内部出来的24V电源? 我不要外接24V电源过去,直接把我外接电源+24接到S,然后0V接开关就可以了?NPN的光电开关。
看了很多说明书别人都是一组,而我2组,我以为一组是内部电源出来的,一组是给我外接电源,今天万用表一打2组都输出24V电压瞬间迷茫,求高手详细说明这2组24V 0V的关系,谢谢。
可以的,没错。+1
虚心请教,努力学习!
工控学堂推荐视频:哪错了,求教?
import mathdef quadratic_equation(a, b, c):
det=b*b-4*a*c
return none
elif det==0:
return -b/(a*2)
return (-b-math.sqrt(det))/(2*a),(-b+math.sqrt(det))/2/aprint quadratic_equation(2, 3, 0)print quadratic_equation(1, -6, 5)
换了顺序还是不行。
写下你的评论...
写下你的评论...
写下你的评论...
写下你的评论...
Copyright (C)
All Rights Reserved | 京ICP备 号-2后使用快捷导航没有帐号?
请完成以下验证码
查看: 1042|回复: 1
求教大牛,vhdl仿真结果全是0,不明白代码哪错了,过河游戏
在线时间0 小时
TA的帖子TA的资源
一粒金砂(初级), 积分 0, 距离下一级还需 5 积分
一粒金砂(初级), 积分 0, 距离下一级还需 5 积分
编的是猫狗老鼠过河游戏
顶层文件:
use ieee.std_logic_1164.
use ieee.std_logic_unsigned.
entity test is
& &&&clk:in std_& && && && && && && && && &&&--50mHz
& &&&c,d,m:in std_
& &&&e,r:in std_
& &&&boat:out std_logic_vector(7 downto 0);
& &&&win,fail:out std_
& &&&cnt:out std_logic_vector(3 downto 0);
& &&&state:out std_logic_vector(3 downto 0)
architecture behave of test is
signal clk1,clk2,clk3:std_
component frq
& &&&port(
& & & & & & & & & & & & clk:in std_
& & & & & & & & & & & & clk1,clk2,clk3:out std_logic
& & & & & & & & & & & & );
& & & & & & & & & & & &
component game
& &&&port(
& & & & & & & & & & & & clk1,clk2,clk3:in std_
& & & & & & & & & & & & c,d,m:in std_--animals
& & & & & & & & & & & & e,r:in std_--enter and reser
& & & & & & & & & & & & cnt:out std_logic_vector(3 downto 0);--jishu
& & & & & & & & & & & & state:out std_logic_vector(3 downto 0);--zhuangtai
& & & & & & & & & & & & win,fail:out std_--shu or ying
& & & & & & & & & & & & boat:out std_logic_vector(7 downto 0)--chuan yidong
& & & & & & & & & & & & );
& & & & & & & & & & & &
& & & & u0:frq port map (clk,clk1,clk2,clk3);
& & & & u1:game port map(clk1,clk2,clk3,c,d,m,e,r,cnt,state,win,fail,boat);
game文件:
use ieee.std_logic_1164.
use ieee.std_logic_unsigned.
entity game is
& &&&clk1,clk2,clk3:in std_& && && && &&&--clk1:1KHz;clk2:2.5;clk3:500Hz
& &&&c,d,m:in std_--animals1
& &&&e,r:in std_--enter and reser
& &&&cnt:out std_logic_vector(3 downto 0);--jishu
& &&&state:out std_logic_vector(3 downto 0);--zhuangtai
& &&&win,fail:out std_--shu or ying
& &&&boat:out std_logic_vector(7 downto 0)--chuan yidong
architecture behave of game is
signal c_t,d_t,m_t:std_--animals
signal fail_t,win_t:std_
signal boat_t:std_logic_vector(7 downto 0);
signal state_t:std_logic_vector(3 downto 0);
signal cnt_t:std_logic_vector(3 downto 0);
& & & & & & & &
p1:process(clk1,clk2)
& & & & begin
& & & & & & & & if(clk1'event and clk1='1')then
& & & & & & & && &&&state_t&=&0111&;
& & & & & & & & & & & & boat_t&=&&;
& & & & & & & & & & & & cnt_t&=&0000&;
& & & & & & & & & & & & win_t&='0';
& & & & & & & & & & & & fail_t&='0';
& & & & & & & & & & & & if(r='1')then& & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & --r='1'
& & & & & & & & & & & & & & & & boat_t&=&&;
& && && && && & cnt_t&=&0000&;
& && && && && & win&='0';
& && && && && & fail&='0';
& && && && && & state_t&=&0111&;
& & & & & & & & & & & & else
& & & & & & & & & & & & & & & & if(state_t=&0010& or state_t=&0001& or state_t=&1110& or state_t=&1101&)then
& & & & & & & & & & & & & & & & & & & & fail&='1';win&='0';
& & & & & & & & & & & & & & & & elsif(cnt_t&=&1111&)then
& & & & & & & & & & & & & & & & & & & & fail&='1';win&='0';
& & & & & & & & & & & & & & & & else
& & & & & & & & & & & & & & & & & & & & if(fail_t='0' and win_t='0' and r='0')then& & & & & & & & & & & & --start
& & & & & & & & & & & & & & & & & & & & & & & & if(c='1' and state_t(2)='1')then
& & & & & & & & & & & & & & & & & & & & & & & & & & & & if(e='1')then
& & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & state(2)&='0';& & & & ----ok????
& & & & & & & & & & & & & & & & & & & & & & & & & & & &
& & & & & & & & & & & & & & & & & & & & & & & & elsif(d='1' and state_t(1)='1')then
& & & & & & & & & & & & & & & & & & & & & & & & & & & & if(e='1')then
& & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & state_t(1)&='0';
& & & & & & & & & & & & & & & & & & & & & & & & & & & &
& & & & & & & & & & & & & & & & & & & & & & & & elsif(m='1' and state_t(0)='1')then
& & & & & & & & & & & & & & & & & & & & & & & & & & & & if(e='1')then
& & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & state_t(0)&='0';
& & & & & & & & & & & & & & & & & & & & & & & & & & & &
& & & & & & & & & & & & & & & & & & & & & & & & else
& & & & & & & & & & & & & & & & & & & & & & & & state_t&=state_t;
& & & & & & & & & & & & & & & & & & & & & & & &
& & & & & & & & & & & & & & & & & & & & & & & & state_t(3)&=not state_t(3);
& & & & & & & & & & & & & & & & & & & & & & & & cnt_t&=cnt_t+1;& & & &
& & & & & & & & & & & & & & & & & & & & & & & &
& & & & & & & & & & & & & & & & & & & &
& & & & & & & & & & & & & & & &
& & & & & & & & & & & & & & & &
& & & & & & & & & & & &
p3:process(clk3)& && && &---用数码管显示过河& &船行驶方向
& & & & begin
& & & & if(state_t(3)'event and state_t(3)='1)then& && &---去往目的地
& & & & & & & & for i in 0 to 7 loop
& & & & & & & & if(clk3'event and clk3='1')then
& & & & & & & & & & & & boat_t(i)&='1';
& & & & & & & &
& & & & & & & &
& & & & elsif(state_t(3)'event and state_t(3)='0')then
& & & & & & & & for i in 7 downto 0 loop
& & & & & & & & if(clk3'event and clk3='1')then
& & & & & & & & & & & & boat_t(i)&='1';
& & & & & & & &
& & & & & & & &
& & & & & & & &
end process p3;
& & & & & & & &
use ieee.std_logic_1164.
use ieee.std_logic_unsigned.
entity frq is
& &&&clk:in std_
& &&&clk1,clk2,clk3:out std_logic
architecture behave of frq is
signal cnt1:integer range 0 to 24999;--1khz,系统时钟
signal cnt2:integer range 0 to 999999;--2.5hz,摁键时钟
signal cnt3:integer range 0 to 49999;--500hz,数码管扫描时钟
signal tmp_1,tmp_2,tmp_3:std_
p1:process(clk)
& && && &&&if(clk'event and clk='1')then
& & & & & & & & & & & & if cnt1=24999 then
& & & & & & & & & & & && &cnt1&=0;
& & & & & & & & & & & && &tmp_1&=not tmp_1;
& & & & & & & && & else
& & & & & & & & & & & && &cnt1&=cnt1+1;
& & & & & & & && &
& && && &&&
& &end process p1;
clk1&=tmp_1;
p2:process(clk)
& && && &&&if(clk'event and clk='1')then
& & & & & & & && & if cnt2=999999 then
& & & & & & & & & & & && &cnt2&=0;
& & & & & & & & & & & && &tmp_2&=not tmp_2;
& & & & & & & && & else
& & & & & & & & & & & && &cnt2&=cnt2+1;
& & & & & & & && &
& && && &&&
& && && &&&
& &end process p2;
clk2&=tmp_2;
p3:process(clk)
& && && &&&if(clk'event and clk='1')then
& & & & & & & && & if cnt3=49999 then
& & & & & & & & & & & && &cnt3&=0;
& & & & & & & & & & & && &tmp_3&=not tmp_3;
& & & & & & & && & else
& & & & & & & & & & & && &cnt3&=cnt3+1;
& & & & & & & && &
& && && &&&
& && && &&&
& &end process p3;
clk3&=tmp_3;
然后把整个test生成一symbol file,clk先接一50m分频器(老师允许给的),输出信号接test的clk输入
加入输入输出信号仿真,输出信号波形全是0.....
在线时间1 小时
TA的帖子TA的资源
一粒金砂(初级), 积分 0, 距离下一级还需 5 积分
一粒金砂(初级), 积分 0, 距离下一级还需 5 积分
帮你顶了,在上班没有时间看完那么多的代码!!
EEWORLD 官方微信
Powered by}

我要回帖

更多关于 链表输出都是乱码 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信