quartusii环境下为什么excel双击打不开bdf元件打不开

技术小站:
厂商活动:
地点:青岛
时间:9月15日 14:00 - 17:00
主办方:阿里云&庆科
时间: 9月19日
地点:苏州
时间:9月27日 14:00 - 17:00
地点:广州
时间: 9月21日
实践理解应用RTOS各组件
用三极管搭建深度电路系统
物联网安全从小白到大师
开关电源的芯片设计之秘
实战让你秒懂mbed OS
&08-08&20:00
&08-15&20:00
&08-11&20:00
&08-25&10:00
移入鼠标可放大二维码
基于QuartusII的实例展示 - 全文
来源:本站整理
作者:Triquinne日 11:20
[导读] 电子发烧友网核心提示 :基于QuartusII通过实验板上的KEY1按钮控制FPGA核心板上的第一个LED灯。本实验比较简单,使用本站FPGA开发板或者CPLD开发板以及其它
  电子发烧友网核心提示:基于QuartusII通过实验板上的KEY1按钮控制FPGA核心板上的第一个LED灯。本实验比较简单,使用本站FPGA开发板或者CPLD开发板以及其它FPGA开发板都可进行实验。实验目的:通过该实例学习,可以了解FPGA的基本开发流程,熟识quartusII软件基本功能的使用。
  基本原理:利用一个常开按钮(实验板上的KEY1)作为输入(常开时输入1,闭合时输入0),经过一个反相器后输出到核心板的第一个LED。KEY1常开时,LED亮,按下(闭合)实验板上的KEY1,该LED熄灭。
  1. 建立工程
  运行QuatrusII软件(以下简称Q2),建立工程,File&New Project Wizad如
  点击New Project Wizard 后弹出指定工程名的对话框,在Diectory, Name, Top-Level Entity中如下图填写:
  按Next按钮,出现添加工程文件的对话框:
  在这里我们先不用管它,直接按Next进行下一步,选择FPGA器件的型号:
  在Family下拉筐中,我们选择Cyclone系列FPGA,然后在&Available devices:&中根据核心板的FPGA型号选择FPGA型号,注意在Filters一栏选上&Show Advanced Devices&以显示所有的器件型号。执行下一步出现对话框:
  这里是选择其它EDA工具的对话框,我们用Q2的集成环境进行开发,因此这里不作任何改动。按Next进入工程的信息总概对话框:
  按Finish按钮即建立一个空项目。
  2. 建立顶层图
  执行File&New,弹出新建文件对话框:
  选择&Block Diagram Schematic File&按OK即建立一个空的顶层图,缺省名为&Block1.bdf&,我们把它另存为(File&Save as),接受默认的文件名,并将&Add file to current project&选项选上,以使该文件添加到工程中去。如图所示:
  3. 添加逻辑元件(Symbol)
  双击顶层图图纸的空白处,弹出添加元件的对话筐:
  在Libraries里寻找所需要的逻辑元件,如果知道逻辑元件的名称的话,也可以直接在Name一栏敲入名字,右边的预览图即可显示元件的外观,按OK后鼠标旁边即拖着一个元件符号,在图纸上点击左键,元件即安放在图纸上。
  在图纸上分别添加非门(not)、输入(input)、输出(output)三个symbol,如图所示:
  连线,将鼠标移到symbol连线端口的那里,鼠标变成图示模样:按下左键拖动鼠标到另一个symbol的连线端。本例中,这三个symbol的连线如下图所示:
  分别双击input和output symbol的名字&pin_name&、&pin_name1&,将它们的名字改为Key1,LED1:
  4. 分配管脚
  为芯片分配管脚可以用QuartusII软件里的&Assignments&Pins&菜单,也可以用tcl脚本文件。用Tcl文件进行配置可重用性好,易于管理,因此本文介绍用tcl的方法。对于另一种方法,可以参考QuartusII软件的帮助文档。
  在工程目录下建立一个name为Setup.tcl的file。File&New,选择other files页面:
  有关tcl文件的更详尽内容可参考QuartusII的帮助文档,对于我们所选用的FPGA开发板SOPC开发板来说,由于不同型号的FPGA核心板的管脚与实验板上的引脚也不同,因此不同的核心板对应的.tcl文件也不同(关于核心板引脚与实验板引脚对应的详细情况请参照&CT-SOPCx学习套件用户手册&或相关电路原理图)。在实际项目中,该文件也可以根据具体管脚分配要求来改写。
  对应于EP1C6 FPGA开发板,EP1C12 FPGA开发板:
  #Setup.tcl
  # Setup pin setting
  set_global_assignment -name RESERVE_ALL_UNUSED_PINS &AS INPUT TRI-STATED&
  set_global_assignment -name ENABLE_INIT_DONE_OUTPUT OFF
  set_location_assignment PIN_1 -to led1
  set_location_assignment PIN_122 -to key1
  保存到工程目录下,并注意在保存对话框选上&Add file to current project&选项。然后打开Tools -》 Tcl Scripts,选中刚才编辑的Script文件:Setup,并点击Run,如下图:
  注意:建立工程时如果路径名有中文字符或者路径名有空格字符,则tcl Script文件将运行不了。比如,本例建立的工程&FPGA_led_test&目录是: C:alteraquartus51myq2projectsFPGA_led_test
  如果是:
  C:alteraquartus51my q2projectsFPGA_led_test
  在该目录下运行工程里的setup.tcl就会出错。
  如果使用Tools -》 Tcl Scripts 后没有弹出&tcl Script&对话框可以试一下关了QII,再重新打开。使用TCL文件分配管脚是很方便的,用户可以直接从相关例子工程中复制需要管脚分配表到自己的工程中,省时又方便。
QuartusII相关文章
QuartusII相关下载
CPLD相关文章
CPLD相关下载
LED相关文章
LED相关下载
FPGA相关文章
FPGA相关下载
技术交流、积极发言! 发表评请遵守相关规定。
现在的中国厂商已经不仅仅满足于做白牌厂商,特别是在物联网、创客风潮来袭之时,都期望以之前积累的制造技术与经验抢占风口好位置,正纷纷建立起...
据悉,风力发电机根据运行特征和运行技术一般分为恒速风力发电机和变速风力发电机。恒速风力发电系统具有结构简单、成本低、过载能力强以及运行可...
创新实用技术专题
供应链服务
商务及广告合作
Jeffery Guo
关注我们的微信
供应链服务 PCB/IC/PCBA
版权所有 (C) 深圳华强聚丰电子科技有限公司
电信与信息服务业务经营许可证:粤B2-您所在位置: &
&nbsp&&nbsp&nbsp&&nbsp
QuartusII原理圖输入设计方法步骤.doc 17页
本文档一共被下载:
次 ,您可全文免费在线阅读后下载本文档。
下载提示
1.本站不保证该用户上传的文档完整性,不预览、不比对内容而直接下载产生的反悔问题本站不予受理。
2.该文档所得收入(下载+内容+预览三)归上传者、原创者。
3.登录后可充值,立即自动返金币,充值渠道很便利
需要金币:150 &&
QuartusII原理圖输入设计方法步骤
你可能关注的文档:
··········
··········
QuartusII原理图输入设计方法步骤
1. 电路原理图编辑输入
(1)新建一个文件夹。如:E:\My_QuartusII\My_FirstSch
(2)打开原理图编辑窗。
开始?程序?Altera?Quartus II 7.0?Quartus II 7.0(32-Bit)?File?New?在Device Design Files页中选:Block Diagram/Schematic File?OK。即可在此原理图编辑窗中输入所需的原理图了。
(3)输入原理图。例如:二选一多路选择器
用鼠标双击原理图编辑窗中任一空白点,弹出器件输入对话框。在Name框内输入所需元器件的名称,如AND2、OR2、NOT、74138、INPUT、OUTPUT、VCC、GND等。
(4)文件存盘。
File?Save As 找到已经建立的文件夹路径E:\My_QuartusII\My_FirstSch,存盘文件名为mux21.bdf,点“保存”后弹出对话框“Do you want to create a new project for this file?”,点“是”后弹出“New project wizard:Introduction”窗口,点“Next”可直接进入创建工程流程,若点击“取消”则可按以下步骤进入创建工程流程。一般直接点“Next”直接进入创建工程流程。
2.创建工程
为工程指定目标器件、综合器、用户库、EDA工具等。
(1)打开建立新工程管理窗。
File?New Project Wizard…?弹出工程设置对话框后,选择如下图所示:
(2)将设计文件加入工程中。
点击上图下方的“Next”,将与工程相关的文件(如:mux21.bdf)加入此工程。
(3)选择目标芯片。
点击上图下方的“Next”,显示选择目标芯片对话框。
(4)工具设置。
点击上图下方的“Next”,进入工具设置对话框。都做默认选择。
(5)结束设置。
3. 编译前设置
(1)选择目标芯片。
主菜单中的Assignments?Settings选择目标芯片EPM7128SLC84-15(此芯片已在建立工程时选定了)。
(2)选择配置器件的工作方式。
点击上图中的“Device & Pin Options”按钮,进入“Device & Pin Options”选择窗,
按默认选择即可。
(3)选择配置器件和编程方式
对Cyclone器件需要进行的设置。
(4)选择目标器件闲置引脚的状态(推荐选择为输入为三态)
(5)双功能引脚选择(对Cyclone II系列器件而言)
4. 全程编译
主菜单?Processing?Start Compilation启动全程编译。
全程编译包括以下处理过程:排错、数据网表文件提取、逻辑综合、适配、装配文件(仿真文件与编程配置文件)生成,以及基于目标器件硬件性能的工程时序分析等。
编译过程中要及时注意工程管理窗下方的Processing栏中的编译信息。双击出错条文,修改错误,再次编译,直至排除所有错误。一般情况下先排除最上面的一条错误,进行一次编译,因为多数情况下,一条错误会导致多条错误信息报告。
其中Fitter文件夹中的Pin-Out文件给出了所有EMP7128SLC84-15芯片的84个引脚的分配情况。Fitter文件夹中的Resource Section文件夹中的Input Pins和Output Pins给出了所有的输入引脚和输出引脚。
5. 逻辑功能测试
(1)打开波形编辑器。
File?New?选择Other Files?选择Vector Waveform File?OK
(2)设置仿真时间区域。
主菜单?Edit?End Time?作如下设置?OK
仿真时间区间为:0~50us
(3)波形文件存盘。
File?Save as 将以默认文件名mux21.vwf存入E:\My_Quartus II\My_FirstSch目录下。
(4)将工程mux21的端口信号名加入波形编辑器中。
View?Utility Windows?Node Finder
单击List按钮:
用鼠标将重要的端口名a、b、s、y分别拖到波形编辑窗,结束后关闭Node Finder窗口。
设定Grid Size:E
正在加载中,请稍后...第1页/共1页
QuartusII 安装与使用方法(以下所述已经在计算机上全部试验过了)
1、安装完2张盘(disk1,disk2)之后,再安装补丁包quartusii_60_sp1_pc.exe。然后将位于E:\software\Quartus6.0\disk2\disk2\crack6.0目录下的sys_cpt.dll文件拷贝到QII 6.0安装目录(C:\Altera\quratus60)下的win 目录下;再把License.dat 拷贝到QII 6.0安装目录(C:\Altera\quratus60)下。
2、记得修改QII 6.0安装目录(C:\Altera\quratus60)下的License.dat 中的所有HOSTID(共2处) 为你自己机器的HostID. 。
3、自己机器的ID 在网上邻居里找:右键点击网上邻居-属性-右键击本地连接-状态-支持-详细信息-在其中可以看到本机的全部网络信息(实际地址、IP 地址、子网掩码、默认网关、DNS 服务器等信息)其中的实际地址就是本机的HOSTID 。
QuartusII 使用方法
1、工程名:其扩展名为.qpf ;
2、工程中的逻辑图形编辑文件:其扩展名为.bdf ;
3、可以点击右键,再击insert ,插入图形文件中的符号文件.sym 例如dizh.sym ,dizh.sym 的生成方法是:在QuartusII 工作界面中,点击主菜单中的MAX+PLUSII,在其下拉菜单中点击Text editor,进入文件编辑界面,或者直接点主菜单中的file ,open ,打开一个现成的逻辑设置文件如dizh.tdf ,在其中编写或编辑,完成文本文件的编写,然后点file,save as,将其保存到本工程所在文件夹,但注意其名字不要与工程名相同,保存后,将光标置于dizh.tdf 中,使dizh.tdf 继续处于编辑状态,点file ,create update,create symbol files for current file,即可生成dizh.sym 符号文件。双击该符号文件,可以调出其文本文件或图形符号文件(二者都出现,供选择) 。
4、Cpld 元件的引脚编辑方法:点击主菜单的assignments-assignments editor ,在出现的界面上最上面一栏(category种类) 中,选中pin ,再在下一栏(node filter) 中输入node(节点) 的名称,每输入一个,回车,自动进入下一行,又直接输入新的node 名称,一直到输入完所有node 的名称。注意在此期间,不要考虑引脚编号。然后将光标进入最下边一栏(edit),可以看到前面输入的node 已经都在最左边的一列排好了(按英文字母顺序自动排好) ,双击表中的第二列(location),就出现所有引脚编号,可以根据电路图,选定各node 的引脚。
5、再在图形编辑文件*.bdf中对各node 进行相应的编辑,引脚编号就会自动出现在node 上。就这样可以完成cpld 的文件编辑。
6、然后就是编译和对元件的编程。
7、编程前要在PC 机打印口插上byteblasterMV 下载器,编程中有时会出现英文“硬件未连接”,说明编程下载器驱动软件未装好,其安装方法是:点击-开始-控制面板-添加硬件-是,我已经连接了此硬件-添加新的硬件设备(一大列中的最后一项) -安装我手动从列表选择的硬件(高级) -声音、视频和游戏控制器-从磁盘安装-浏览-进入QuartusII 的安装目录c:\altera\quartus60\drivers\win2000\win2000.inf---altera byteblaster —下一步-下一步-出现“需要altera byteblaster driver 上的文件pgdhdlc.dll ,输入文件所在的路径,然后单击确定”提示,通过浏览进入c:\altera\quartus60\drivers\win2000,就可以看到所需文件,击打开,回到上一界面,击确定,就可完成驱动程序的安装。之后就可以下载程序了。
8、在逻辑图形编辑文件×.bdf 中插入元件的方法:点击该图左边工具条中的元件符号-在出现的菜单中点击…(浏览) -选中c:\altera\quartus60\libraries\,其中有诸多目录,所有元件都可以在此找到。其中的others 目录下的maxplus2中,有所有74系列的集成电路诸如7、74373等数百种。其中的primitives 中有缓冲器、门电路、引脚(输入输出引脚或双向引脚等) 、存储器等元件。在primitives 目录下的others 中,有VCC 、GND 等电源符号。以上所有元件均可以点击后直接放到符号图中使用。
第1页/共1页
寻找更多 ""QuartusII软件的使用方法——托普信息技术职业学院精品课程
我的图书馆
QuartusII软件的使用方法——托普信息技术职业学院精品课程
QuartusII软件的使用方法
四川托普信息技术职业学院
电子与通信系
QuartusII的设计流程
QuartusII软件的使用方法一、 设计输入1.建立工程任何一项设计都是一项工程(Project),都必须首先为此工程建立一个放置与此工程相关的所有设计文件的文件夹。此文件夹将被EDA软件默认为工作库(Work Library)。一般,不同的设计项目最好放在不同的文件夹中,而同一工程的所有文件都必须放在同一文件夹中。
首先建立工作库目录,以便存储工程项目设计文件。在D盘下新建文件夹并取名Mydesign。双击QuartusII软件启动图标,即可启动QuartusII软件,启动界面如图1-2所示。
使用New Project Wizard 可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称,还可以指定要在工程中使用的设计文件、其他源文件、用户库和EDA 工具,以及目标器件系列和具体器件等。在此要利用“New Preject Wizard”工具选项创建此设计工程,并设定此工程的一些相关的信息,如工程名、目标器件、综合器、仿真器等。
(1)打开建立新工程管理窗。选择菜单File→New Preject Wizard 命令,即弹出“工程设置”对话框(图1-3),以此来建立新的工程。
(2)在单击图1-3后,出现了设置工程的基本信息,如图1-4所示。单击此对话框最上一栏右侧的“… ”按钮,可以选择工程存放在硬盘上的位置,此例中将工程放在D盘Mydesign文件夹下。这三行的第一行的d:\Mydesign表示工程所在的工作库文件夹;第二行的half_add 表示此项工程的工程名,工程名可以取任何其他的名,也可直接用顶层文件的实体名作为工程名,在此就是按这种方式取的名;第三行是当前工程顶层文件的实体名,这里即为half_add。
(2)将设计文件加入工程中。单击图1-4中的Next 按钮,弹出对话框如图1-5所示,在对话框中单击File name 右侧的“… ”按钮,可以将与工程相关的所有VHDL 文件(如果有的话)加入进此工程,此工程文件加入的方法有两种:第1 种方法是单击“Add … ”按钮,从工程目录中选出相关的VHDL 文件;第2 种方法是单击Add All 按钮,将设定的工程目录中的所有VHDL 文件加入到工程文件栏中。如果还没有建立VHDL文件,就直接点击“Next”即可。
(3)选择目标芯片。单击图1-5中Next 按钮出现如图1-6的对话框用来选择目标芯片。首先在Family 栏选芯片系列,在此选FLEX10K系列,并选择此系列的具体芯片EPF10K10LC84-4。在“Target device”选项下选择“Auto device selected by the fitter”选项,系统会自动给所设计的文件分配一个器件。如果选择“Specific device selected in ‘Available devices’ list”选项,用户需指定目标器件。在右侧的“Filters”窗口“过滤”选择;Package表示封装; Pin cout表示引脚数,此例选择84;Speed grade表示速度等级,此例选择4。
(5)在图1-7单击“Next”按钮后进入最后确认的对话框,如图1-8所示。建立的工程的名称、选择的器件和选择的第三方工具等信息,如果无误的话就可以单击“Finish”按钮,弹出如图1-9所示的窗口,在资源管理窗口可以看到新建的工程名称half_add。
当工程建立好以后,我们就可以建立设计文件。下面我们以一个半加器的VHDL的设计,来演示在QuartusII如何实现VHDL语言输入。
(1)建立文件。在图1-9中,单击“File”菜单下的“New”命令或者使用快捷键Ctrl+N,在弹出“New”对话框如图1-10所示。在“Device Design Files”页面下双击“VHDL File”选项(或选中该项后单击“OK”按钮)后建立新文件,如图1-11所示。
(2)输入程序。在图1-11中输入半加器的VHDL程序,如图1-12所示。
(3)保存文件。在图1-12中单击保存文件按钮 ,弹出对话框如图1-13,将输入的VHDL语言程序保存为half_add.vhd文件,注意后缀名是.vhd,单击“保存”按钮即可保存文件,如图1-13。
(4)编译工程。在图1-11中单击水平工具条上的编译按钮 ,或选择菜单Processing下的Start Complilation,开始编译,并伴随着进度不断地变化,编译完成后的窗口如图1-14所示。如果编译过程出现错误,要将错误改正,保存后再次编译,直到编译无错误为止。到此在QuartusII软件中使用VHDL语言输入完成,接下来是将保存好的VHDL语言程序进行仿真,在软件上验证VHDL语言描述的功能是否能够达到预期目的。
设计仿真的目的就是在软件环境下,验证电路的行为和思想是否一致。仿真分为功能仿真和时序仿真。功能仿真是在设计输入之后,综合和布局布线之前的仿真,不考虑电路的逻辑和门电路的时间延时,着重考虑电路在理想环境下的行为和预期设计效果的一致性。时序仿真是在综合、布局布线后,也即电路已经映射到特定的工艺环境后,考虑器件延时的情况下对布局布线的网络表文件进行的一种仿真,其中器件延时信息通过反向标注时序延时信息实现的。
(1)建立矢量波形文件。在图1-14中,单击“File”菜单下的“New”命令,在弹出的“New”对话框中选择“Other Files”页面,如图1-15。选择“Vector Waveform File”后单击“OK”按钮,弹出如图1-16所示的矢量波形编辑窗口。
(2)添加引脚或节点。在图1-16中,左键双击“Name”下方空白处,弹出“Insert Node or Bus”对话框,如图1-17所示。单击对话框“Node Finder…”按钮后,弹出“Node Finder”对话框,如图1-18所示。
&& &在图1-18中,在“Filter”后面的方框里选择“Pin:all”,然后单击“List”按钮,在“Node Found”栏中列出了设计中的所有的输入/输出引脚号,如图1-19所示。
单击图1-19中的按钮,所有列出的输入/输出引脚号被复制到右边一侧。也可以根据情况选择部分引脚号复制到右边,方法是在左边选中想要的引脚号,单击按钮即可。选择好了引脚号后,单击“OK”按钮,返回“Insert Node or Bus”对话框,此时,在“Name”和“Type”栏里出现了“Multiple Items”项,如图1-20。单击“OK”按钮,选中的输入/输出引脚号都添加到矢量波形编辑窗口中,如图1-21所示,单击“OK”按钮,进入矢量波形编辑窗口,如图1-22。
(3)编辑输入信号并保存文件。在图1-22中单击“Name”下方的“A”,即选中该行的波形。在本例中将输入信号“A”设置为时钟信号,单击工具栏中的 按钮,弹出“Clock”对话框,此时可以修改信号的周期、相位和占空比。设置完后单击“OK”按钮,输入信号“A”设置完毕。同理设置其他输入信号“B”,最后单击保存文件按钮 ,根据提示完成保存工作,如图1-23所示。同时,为了方便读者熟悉其他波形编辑工具的使用,在图1-24中标注了其他波形编辑工具的功能。
&&& 功能仿真是忽略延时的仿真,是理想的仿真。接下来我们一起来进行设计的功能仿真。首先在图1-23中单击“Assignments”菜单下的“Settings”命令,如图1-25,单击左侧标题栏中的“Simulator”选项后,在右侧的“Simulation mode”的下拉菜单中选择“Functional”选项即可(软件默认的是“Timing”选项),单击“OK”按钮后完成设置。
&&& 后需要生成功能仿真网络表。单击“Processing”菜单下的“Generate Functional Netlist”命令后会自动创建功能仿真网络表,如图1-26所示。完成后会弹出相应提示框,单击“确定”即可。最后单击 按钮进行功能仿真,如图1-27所示。从图中可以看出仿真后的波形没有延时,半加器的两个加数作为输入信号,得到了对应的和与进位。
QuartusII中默认的仿真为时序仿真,在图1-23中直接单击仿真按钮 即可。如果做完功能仿真后进行时序仿真,需要在“Assignments”→“Settings” → “Simulator”选项后,在右侧的“Simulation mode”的下拉菜单中选择“Timing”选项即可。仿真完成后的窗口如图1-28所示。观察波形,可以知道输入输出之间有一定的延时。
引脚锁定是为了对所设计的工程进行硬件测试,将输入/输出信号锁定在器件的某些引脚上。单击“Assigments”菜单下的“Pins”命令,在弹出的对话框如图1-29所示,在下方的列表中列出了本项目所有的输入/输出引脚名。
&&& 在图1-29中,双击与输入端“A”对应的“Location”选项后弹出引脚列表,从中选择合适的引脚,则输入“A”的引脚锁定完毕。同理完成其他引脚的锁定,如图1-30。
编程下载是将本次设计所生成的文件通过计算机下载到实验箱里,来验证此次设计是否能够达到预期目的。需要进行以下几个步骤:
锁定引脚后必须再次编译,才能存储这些引脚锁定的信息,单击编译按钮执行编译。
& 在“Tools”菜单下选择“Programmer”命令,或者直接单击工具栏上的 按钮,弹出如图1-31所示的页面。
单击“Hardware Setup”按钮,弹出“ Hardware Setup”对话框,如图1-32所示。单击“Add Hardware”按钮设置下载电缆,弹出如图1-33所示的对话框。在 “Hardware type” 一栏中选择“ByteBlasterMV or ByteBlaster II”后单击“OK”按钮,下载电缆配置完成。设置成如图1-34所示的选项后,单击 “Close”按钮即可。一般情况下,如果下载电缆不更换,一次配置就可以长期使用,不需要每次都设置。
&&& JTAG模式是软件的默认下载模式,相应的下载文件为“.sof”格式。在“Mode”一栏中还可以选择其他的下载模式,例如,Passive Serial、Active Serial Programming和In-Socket Programming。勾选图1-31中下载文件“half_add.sof”右侧的第一个小方框,也可以根据需要勾选其他的小方框。将下载电缆连接好后,单击“Start”按钮计算机就开始下载编程文件,这样在实验箱上就可以验证实验效果了。
到这里,我们都演示了QuartusII软件的全部使用过程,从建立工程,VHDL语言设计输入,设计仿真到编程下载都演示了一遍,读者跟着上面的操作,就能够到达最后的程序下载,在EDA实验箱上看到最终的效果。
五、原理图输入
(1)建立文件。在图1-9中,单击“File”菜单下的“New”命令或者使用快捷键Ctrl+N,在弹出“New”对话框如图1-35所示。在“Device Design Files”页面下双击“Block Diagram/Schematic File”选项(或选中该项后单击“OK”按钮)后建立新文件,如图1-36所示。
(2)在图1-36中对常用的工具栏功能进行了标注,以帮助读者更好地使用这些工具。在图1-36的图形编辑窗口的空白处双击鼠标左键(或在编辑工具栏单击 工具条),弹出如图1-37所示的选择电路符号对话框,选中“primitives”→“logic” →“xor”(或在“Name”输入编辑框中输入“xor”)后,单击“OK”按钮。此时,光标上与符号连在一起,可以移动光标,将符号移动到合适的位置,如图1-38。同理,在图中放置一个“and2”符号,在“primitives”→“pin”下选择“input”和“output”两中符号,分别放两个,如图1-39所示。
(3)连接各元器件并命名。在图1-39中,将光标移到input右侧,待变成十字形光标时,按下鼠标左键(或选中工具栏中的 工具,光标自动会变成十字形的连线状态),再将光标移动到异或门的左侧,待连接点上出现蓝色的小方块后释放鼠标左键,即可看到input和异或门之间有一条连线生成。重复上面的方法将1-39图中各种符号连接起来,如图1-40所示。双击pin_name使其底色变为黑色后,输入A(或双击input,弹出“Pin Properties”对话框,在“Pin name”一栏中填上名字A),将其他引脚使用同样的方法命名。
(4)保存文件。在图1-40中单击保存文件按钮。在弹出的对话框中的“文件名”下,输入原理图文件的名称“half_add.bdf”,单击“保存”按钮即可保存文件,如图1-41所示。
(5)编译工程。在图1-41中单击水平工具条上的编译按钮,或选择菜单Processing下的Start Complilation,开始编译,并伴随着进度不断地变化,编译完成后的窗口如图1-42所示。如果编译过程出现错误,要根据提示将错误改正,保存后再次编译,直到编译无错误为止。到此在QuartusII软件中使用原理图输入设计完成,接下来是将绘制好的原理图设计进行仿真,以验证原理图设计是否能够达到预期目的。仿真方法与VHDL语言文件的方法一样
TA的最新馆藏[转]&[转]&[转]&[转]&
喜欢该文的人也喜欢}

我要回帖

更多关于 鼠标双击打不开文件 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信