fpga 采集正选波,计算幅值、相位裕量和幅值裕量,请问怎样计算?

相位幅值-学术百科-知网空间
phase and amplitudeamplitude phase meter
与"相位幅值"相关的文献前10条
为了减少小波变换轮廓术中相位展开过程的误差传递,从小波变换的相关实质出发,提出了在小波变换轮廓术中利用以前被忽略的小波脊系数幅值作为可靠度判据指导相位展开的方法。该方法选择最大幅
给出了一种对经典联合变换相关器进行相位编码和幅值调节的新方法 ,使联合变换相关器具有优化的相关输出信号和很强的抗干扰能力 .在相位编码幅值调节联合变换相关器中 ,输入的待识别信号
对不同轧制送料量的铝合金薄壁管材进行涡流探伤试验 ,分析轧制送料量对涡流探伤的影响及原因。根据探伤记录曲线 ,做相位与幅值的关系曲线图。研究发现 ,随轧制送料量增加 ,相位幅值曲
对不同轧制送料量的铝合金薄壁管材进行涡流探伤试验,分析轧制送料量对涡流探伤的影响及原因。根据探伤记录曲线,做相位与幅值的关系曲线图。研究发现,随轧制送料量增加,相位幅值曲线变窄,
直接数字频率合成器(DDS)通常使用查表的方法实现相位和幅值的转换,文章介绍了一种基于CORDIC算法的DDS。CORDIC算法在三角函数合成上有着广泛的用途,作者从DDS的一般
本文根据反应谱、功率谱和傅里叶幅值谱间的数学关系以及三角级数迭加来模拟地震动加速度,并通过对6s以后反应谱曲线的设定和对幅值谱与相位谱的调整,得出了可较好地拟合目标反应谱的地震动
针对齿轮箱升降速过程中振动信号非平稳的特点,将阶次跟踪、角域平均和幅值、相位解调分析技术相结合,提出了基于幅值和相位解调分析的齿轮箱故障诊断方法。首先对齿轮箱升降速瞬态信号进行时
提出了一种基于某改进锁相环的电压凹陷特征量检测方法,可较简单地实现电压凹陷3大特征量(起止时刻、电压幅值、相位跳变)的有效检测。该锁相环为一闭环的相位反馈控制系统,能实时跟踪输入
提出了一种基于软件的幅值、相位、频率一体化检测算法。A/D采样后的输入信号经过一时延环节,得到与基波正交的信号。此信号和原输入信号一起,送入相位校正环节,对输出的相位和幅值信号进
在利用电涡流非接触式位移传感器测量汽轮发电机组的轴振位移时 ,测得的信号中含有因轴加工误差和轴表面缺陷形成的表面误差成份。直接用这些测量信号进行动平衡 ,平衡精度将会降低 ,甚至
"相位幅值"的相关词
快捷付款方式
订购知网充值卡
<font color="#0-819-9993
<font color="#0-
<font color="#0-&#xe621; 上传我的文档
&#xe602; 下载
&#xe60c; 收藏
本店资源来源于互联网,版权为原作者所有,请下载试用者二十四小时后删除. 试用后请购买正版的资源。若侵犯到您的版权, 请提出指正, 我们将立即删除。谢谢!!
&#xe602; 下载此文档
正在努力加载中...
基于FPGA的高吞吐率相位计算的实现_李珊珊
下载积分:380
内容提示:基于FPGA的高吞吐率相位计算的实现_李珊珊
文档格式:PDF|
浏览次数:0|
上传日期: 09:12:04|
文档星级:&#xe60b;&#xe612;&#xe612;&#xe612;&#xe612;
全文阅读已结束,如果下载本文需要使用
&#xe71b; 380 积分
&#xe602;下载此文档
该用户还上传了这些文档
基于FPGA的高吞吐率相位计算的实现_李珊珊
官方公共微信21ic官方微信-->
后使用快捷导航没有帐号?
查看: 14310|回复: 4
相位差的计算公式?
&&已结帖(0)
主题帖子积分
高级技术员, 积分 657, 距离下一级还需 343 积分
高级技术员, 积分 657, 距离下一级还需 343 积分
主题帖子积分
专家等级:结帖率:0%
主题帖子积分
高级技术员, 积分 657, 距离下一级还需 343 积分
高级技术员, 积分 657, 距离下一级还需 343 积分
下面这个图中的相位差的计算公式用什么比较好呢?请大侠给个计算公式,谢谢!
21ic公开课,21ic网友共同的学习圈子!学单片机、嵌入式、模拟、电源……就看这里
移步更多21ic独家微课:
主题帖子积分
主题帖子积分
专家等级:结帖率:70%打赏:0.00受赏:8.36
主题帖子积分
两个输入不相关,频率也可能不同
不存在什么相位差问题。
21ic公开课,21ic网友共同的学习圈子!学单片机、嵌入式、模拟、电源……就看这里
移步更多21ic独家微课:
主题帖子积分
高级技术员, 积分 657, 距离下一级还需 343 积分
高级技术员, 积分 657, 距离下一级还需 343 积分
主题帖子积分
专家等级:结帖率:0%
主题帖子积分
高级技术员, 积分 657, 距离下一级还需 343 积分
高级技术员, 积分 657, 距离下一级还需 343 积分
回复maychang
具体的图和这个有不同这个图的双D触法器输入的是一个同频率的(大约25HZ左右)的正玄信号,正弦信号输入下面的图中,测量的是V1和V2的相位差,只是用到上面的双D触发器还产生U0,
21ic公开课,21ic网友共同的学习圈子!学单片机、嵌入式、模拟、电源……就看这里
移步更多21ic独家微课:
主题帖子积分
主题帖子积分
专家等级:结帖率:100%
主题帖子积分
这里有两种“计算”
一个是“换算”,顶楼电路实际已经得到相位差了,要做的只是根据定义换算成相位差后面的图才需要真正的计算,那就根据模型(RC&网络的传输函数)和信号特性算相移LZ&要哪个?
21ic公开课,21ic网友共同的学习圈子!学单片机、嵌入式、模拟、电源……就看这里
移步更多21ic独家微课:
主题帖子积分
高级技术员, 积分 657, 距离下一级还需 343 积分
高级技术员, 积分 657, 距离下一级还需 343 积分
主题帖子积分
专家等级:结帖率:0%
主题帖子积分
高级技术员, 积分 657, 距离下一级还需 343 积分
高级技术员, 积分 657, 距离下一级还需 343 积分
能把两个都发上来吗?我看看,或者发我的邮箱里谢谢拉
21ic公开课,21ic网友共同的学习圈子!学单片机、嵌入式、模拟、电源……就看这里
移步更多21ic独家微课:
核心会员奖章
等级类勋章
沉静之湖泊
发帖类勋章
技术奇才奖章
人才类勋章
时间类勋章
热门推荐 /2基于FPGA的高精度相位测量仪的设计
13:50:45来源: 电子设计应用
  引言  随着集成电路的发展,利用大规模集成电路来完成各种高速、高精度电子仪器的设计已经成为一种行之有效的方法。采用这种技术制成的电子仪器电路结构简单、性能可靠、测量精确且易于调试。本文采用Altera CycloneII系列器件EP2C5,设计了高精度测量仪。测量相位差所需的信号源在FPGA内部运用DDS原理生成,然后通过高速时钟脉冲计算两路波过零点之间的距离,最后通过一定的运算电路得到最终相位值,测相精度为1°。
图1 相位测量仪硬件结构图
图2 基于DDS的数字移相信号发生
图3 控制模块顶层原理框图
图4 相位测量模块原理框图
系统  该基于FPGA的相位测量仪,硬件组成包括FPGA、高速DAC以及等部分。其系统硬件结构如图1所示。
  该测量仪由按键来预置正弦波的频率及相位。通过FPGA内部的控制模块来计算并产生正弦波所需的频率控制字和相位控制字,然后将控制字输入DDS模块以产生数据输出,经10位高速DAC THS5651输出两路正弦波。在测相位差时,将图1中移相正弦波输出分为两路,其中一路直接经电压LM311整形后输入测相模块;另外一路先通过被测电路,然后再经电压比较器整形后输入测相模块,从而得到正弦波经被测电路后产生的相移。
基于FPGA的硬件DDS移相   DDS的基本原理是利用采样定理,通过查表法产生波形,本系统的移相信号发生模块如图2所示。
   图2中,加法器与寄存器级联构成相位累加器。通过时钟脉冲触发相位累加器,从而将频率控制字不断累加。相位累加器产生一次溢出,就完成一次周期性的动作,这个周期就是DDS合成信号的一个频率周期。
   用相位累加器输出的数据作为波形存储器的相位取样地址,把存储在波形存储器内的波形抽样值经查找表查出,从而完成相位到幅值的转换。然后将波形存储器的输出送到DAC,通过DAC将数字量形式的波形幅值转换成合成频率的模拟波形。图2中FWORD是10位频率控制字;PWORD是10位相移控制字,用来控制正弦信号输出的相移量;SINROM用来存放正弦波数据,有10位数据线和10位地址线。其中数据文件是MIF文件(数据深度1024,数据类型为10进制数),可由Matlab生成,存放数据的单元采用定制ROM的方法生成;POUT和FOUT都为10位输出,分别和两个高速DAC THS5651相连。
控制模块的生成   在产生波形的过程中,DDS模块所需的频率和相位控制字由在FPGA内部编写的控制模块来给定。控制模块的顶层原理框图如图3所示。
   图3中,B1、C10、D100、P1K分别为频率步进输入端;Re为复位端;PW1、PW10分别为1? 10?南辔徊浇?淙搿F渲衒bcout为频率控制字计算模块,完成由频率步进值到二进制频率控制字的转换。
   cout360为相位输入计算模块,由相位输入端的脉冲输入计算出实际的移相值(0麀359 )。add_data_rom是存放相位控制字的ROM,其数据文件是MIF文件,内部360个地址值分别对应0麀359?南辔 ,每个地址中的数据为每个相位值对应的正弦波ROM的地址值。由于正弦波ROM将一个波形分成了1024个点,则0麀359?南辔恢刀杂α薙INROM中的360个点。考虑到.84非整,为了减小移相误差,提高移相精度,本设计中采用分段处理的方法,将360个地址分成60组。第15、30、45、60组的6个地址中点与点之间的距离都为3;其余各组前5点之间的点距为3,第5点与第6点之间的点距为2。
相位测量模块设计原理   本系统的相位测量采用由高速时钟脉冲测量两路波形过零点之间距离的方法。相位测量模块原理框图如图4所示。
   图4中,A、B为两路方波输入,CLK为50MHz时钟输入,dfd2块为下降沿触发的2分频模块。A、B经2分频的目的是使测相范围由0麀180?┐蟮 0麀360??OR为门,其输出信号的脉宽为(b-a)。clxw为一高速计数器,通过25MHz的高频时钟来计算(b-a)的长度。fb360模块为倍乘模块,主要完成(b-a)×360的运算。bpsc模块为分频模块,将25MHz的时钟信号进行(b-a)×360倍的分频,使其输出信号脉宽为Tclk×(b-a)×360(Tclk为25MHz的时钟周期)。xwc为相位差计块,通过A相输入脉冲,计算Tclk×(b-a) ×360的长度,然后完成(b-a)×360/a的计算,进而得出相位差值输出,同时该模块还将测得的相位差值送到数码管显示。在对该模块进行仿真时,人为设定了频率为10KHz,相位差为72。
系统验证调试   在整个系统的验证中,由外部按键通过控制模块来设定波形的频率和相位值,并通过将DDS模块的输出端FOUT和POUT外接10位DAC THS5651来产生波形。通过在观察两路波形发现,波形比较稳定,频率与设定值一致。此外,为了测量DDS模块产生的移相是否正确,还人为的通过相位输入端设定相移值,并将参考波形输出端和移相输出端输出的波形经整形后,用测相模块测量两路波形的相位差,通过硬件调试发现测得的相位差与设定的相位差完全一致,从而证明了该系统是精确、稳定的。
结语   本系统选用Altera公司的qusII4.1作为硬件开发平台,并采用VHDL语言进行电路设计。在设计中按功能划分模块,方便了调试与修改,且易于升级。同时,系统设计中还较多采用了同步时序电路来实现各个进程模块的功能,从而有效避免了电路毛刺现象。此外,在相位测量模块中,相位差计数块还带有锁存功能,从而有利于输出的相位差值显示稳定。
关键字:&&&&&&
引用地址:
本网站转载的所有的文章、图片、音频视频文件等资料的版权归版权所有人所有,本站采用的非本站原创文章及图片等内容无法一一联系确认版权者。如果本网所选内容的文章作者及编辑认为其作品不宜公开自由传播,或不应无偿使用,请及时通过电子邮件或电话通知我们,以迅速采取适当措施,避免给双方造成不必要的经济损失。
微信扫一扫加关注 论坛活动 E手掌握
微信扫一扫加关注
芯片资讯 锐利解读
大学堂最新课程
TE工程师帮助将不可能变成可能,通过技术突破,使世界更加清洁、安全和美好。
TTI携TE传感器样片与你相见,一起传感未来
馆内包含了 纵览FRAM、独立FRAM存储器专区、FRAM内置LSI专区三大部分内容。 
热门资源推荐
频道白皮书求助在LV中用FFT分析信号后,怎么把其中的某个频率的幅值和相位提取出来呢? - LabVIEW论坛 -
中国电子技术论坛 -
最好最受欢迎电子论坛!
后使用快捷导航没有帐号?
Hot [直播]
求助在LV中用FFT分析信号后,怎么把其中的某个频率的幅值和相位提取出来呢?
16:32:46  
假如里有50Hz, 100Hz,150Hz, 200Hz............和对应的相位。若我要提取150Hz的幅值和相位,怎么实现。希望有指点下,谢谢了
12:49:24  
PCB在线计价下单
板子大小:
板子数量:
PCB 在线计价
&请你说的详细些,或许我能帮你
23:59:47  
我也需要这方面的知识、、。比如输入正弦信号,怎样通过FFT 测出它的频率和相位
00:00:07  
我也需要这方面的知识、、。比如输入正弦信号,怎样通过FFT 测出它的频率和相位
21:19:41  
用互相关函数,具体的要到文献上去搜一下,源代码没有
12:58:54  
问问题的 说明白点啊
08:51:23  
为什么采集的振动信号经过幅值谱和相位谱分析后,相位谱的值大于180
16:09:21  
为什么fft(mag-phase).vi动态数据输入得不到幅值的图
13:39:59  
wsdg 发表于
&请你说的详细些,或许我能帮你
有一个失真的正弦信号,怎么获得任意一位置的相位信号?
6个问题&&&&&&&&1858个浏览
20个问题&&&&&&&&17429个浏览
32个问题&&&&&&&&6938个浏览
通过本次直播,你将学到:
1.理解mbed操作系统的结构是什么样的
2.mbed功能模块与其他嵌入式操作系统有什么不同
3.工具链使用以及开发流程实操演练
即日起至6月15日,参与英蓓特NXP产品知识小测验,即有机会赢取最新系列开发板。特别奖SBC-EC9100开发板1套,幸运奖FRDM系列最新开发板(型号随机,共10套)。快来参加吧! >> 立即参加
Powered by}

我要回帖

更多关于 阻抗的幅值与相位角 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信