双端口结构 非模块化宏功能模块存储器 操作步骤

 上传我的文档
 下载
 收藏
该文档贡献者很忙,什么也没留下。
 下载此文档
正在努力加载中...
第7章 宏功能模块的应用-PPT(精)
下载积分:1000
内容提示:第7章 宏功能模块的应用-PPT(精)
文档格式:PPT|
浏览次数:0|
上传日期: 08:27:08|
文档星级:
该用户还上传了这些文档
第7章 宏功能模块的应用-PPT(精)
官方公共微信第6章 宏功能模块与IP应用_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
文档贡献者
评价文档:
第6章 宏功能模块与IP应用
把文档贴到Blog、BBS或个人站等:
普通尺寸(450*500pix)
较大尺寸(630*500pix)
大小:1.93MB
登录百度文库,专享文档复制特权,财富值每天免费拿!
你可能喜欢第7章 宏功能模块与ip应用
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
第7章 宏功能模块与ip应用
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='/DocinViewer--144.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口汇聚2000万达人的兴趣社区下载即送20张免费照片冲印
扫码下载App
温馨提示!由于新浪微博认证机制调整,您的新浪微博帐号绑定已过期,请重新绑定!&&|&&
LOFTER精选
网易考拉推荐
用微信&&“扫一扫”
将文章分享到朋友圈。
用易信&&“扫一扫”
将文章分享到朋友圈。
阅读(1983)|
用微信&&“扫一扫”
将文章分享到朋友圈。
用易信&&“扫一扫”
将文章分享到朋友圈。
历史上的今天
loftPermalink:'',
id:'fks_',
blogTitle:'Quartus II开发软件中的宏模块(Quartus II)',
blogAbstract:'Quartus II开发软件中的宏模块--存储器宏模块&\r\n\r\n\r\n\r\nQuartus II开发软件中的宏模块--存储器宏模块RAM宏模块宏模块名称&&&功能描述csdpram&&&&参数化循环共享双端口RAMlpm_ram_dp&&&参数化双端口RAMlpm_ram_dq&&&参数化RAM,输入/输出端分离lpm_ram_io&&&参数化RAM,输入/输出端公用一个端口FIFO宏模块宏模块名称&&&功能描述csfifo&&&&参数化循环共享FIFOdcfifo&&&&参数化双时钟FIFOscfifo&&&&参数化单时钟FIFOlpm_fifo&&&&参数化单时钟FIFOlpm_fifo_dc&&&参数化双时钟FIFOROM的设计',
blogTag:'',
blogUrl:'blog/static/',
isPublished:1,
istop:false,
modifyTime:0,
publishTime:1,
permalink:'blog/static/',
commentCount:1,
mainCommentCount:1,
recommendCount:0,
bsrk:-100,
publisherId:0,
recomBlogHome:false,
currentRecomBlog:false,
attachmentsFileIds:[],
groupInfo:{},
friendstatus:'none',
followstatus:'unFollow',
pubSucc:'',
visitorProvince:'',
visitorCity:'',
visitorNewUser:false,
postAddInfo:{},
mset:'000',
remindgoodnightblog:false,
isBlackVisitor:false,
isShowYodaoAd:false,
hostIntro:'',
hmcon:'0',
selfRecomBlogCount:'0',
lofter_single:''
{list a as x}
{if x.moveFrom=='wap'}
{elseif x.moveFrom=='iphone'}
{elseif x.moveFrom=='android'}
{elseif x.moveFrom=='mobile'}
${a.selfIntro|escape}{if great260}${suplement}{/if}
{list a as x}
推荐过这篇日志的人:
{list a as x}
{if !!b&&b.length>0}
他们还推荐了:
{list b as y}
转载记录:
{list d as x}
{list a as x}
{list a as x}
{list a as x}
{list a as x}
{if x_index>4}{break}{/if}
${fn2(x.publishTime,'yyyy-MM-dd HH:mm:ss')}
{list a as x}
{if !!(blogDetail.preBlogPermalink)}
{if !!(blogDetail.nextBlogPermalink)}
{list a as x}
{if defined('newslist')&&newslist.length>0}
{list newslist as x}
{if x_index>7}{break}{/if}
{list a as x}
{var first_option =}
{list x.voteDetailList as voteToOption}
{if voteToOption==1}
{if first_option==false},{/if}&&“${b[voteToOption_index]}”&&
{if (x.role!="-1") },“我是${c[x.role]}”&&{/if}
&&&&&&&&${fn1(x.voteTime)}
{if x.userName==''}{/if}
网易公司版权所有&&
{list x.l as y}
{if defined('wl')}
{list wl as x}{/list}31EDA第3章-宏功能模块
上亿文档资料,等你来发现
31EDA第3章-宏功能模块
第4章;基于宏功能模块的设计; 第4章;基于宏功能模块的设计;?乘法器模块;内容;?计数器模块?锁相环模块?存储器模块?其他模块; 4.1乘法器模块;Megafunction库是Altera提供的参; 4.3计数器模块;计数器输出端口宽度和计数方向设置; 计数器模和控制端口设置; 更多控制端口设置; lpm_counter计数器功能仿真波形;模24方向可控计
第4 章基于宏功能模块的设计1 第4章基于宏功能模块的设计? 乘法器模块内容? 计数器模块 ? 锁相环模块 ? 存储器模块 ? 其他模块2 4.1 乘法器模块Megafunction库是Altera提供的参数化 模块库。从功能上看,可以把 Megafunction库中的元器件分为: ? 算术运算模块(arithmetic) ? 逻辑门模块(gates) ? 储存模块(storage) ? IO模块(I/O)3 4.3 计数器模块计数器输出端口宽度和计数方向设置4 计数器模和控制端口设置5 更多控制端口设置6 lpm_counter计数器功能仿真波形模24方向可控计数器电路7 4.5 锁相环模块参数化锁相环宏模块altpll以输入时钟信号作为参考信号实现锁相,从而输出若干个同步倍频或者分频的片内 时钟信号。与直接来自片外的时钟相比,片内时钟可以 减少时钟延迟,减小片外干扰,还可改善时钟的建立时 间和保持时间,是系统稳定工作的保证。不同系列的芯片对锁相环的支持程度不同,但是基本的参数设置大致相同,下面便举例说明altpll的应用。8 (1)输入altpll宏功能模块选择芯片和设置参考时钟9 锁相环控制信号设置10 输入时钟设置11 (2)编译和仿真锁相环电路功能仿真波形12 4.6 存储器模块ROM(Read Only Memory,只读存储器)是存储器的 一种,利用FPGA可以实现ROM的功能,但其不是真正意义 上的ROM,因为FPGA器件在掉电后,其内部的所有信息都 会丢失,再次工作时需要重新配置。 Quartus II提供的参数化ROM是lpm_rom,下面用一 个乘法器的例子来说明它的使用方法,这个例子使用 lpm_rom构成一个4位×4位的无符号数乘法器,利用查表 方法完成乘法功能。13 数据线、地址线宽度设置14 控制端口设置15 添加.mif文件16 如下图所示就是基于ROM实现的4位×4位的无符号 数乘法器电路图,其参数设置为: LPM_WIDTH=8 LPM_WIDTHAD=8 LPM_FILE=mult_rom.mif17 仿真结果18 4.7 其他模块Maxplus2库主要由74系列数字集成电路组成,包括 时序电路宏模块和运算电路宏模块两大类,其中时序电 路宏模块包括触发器、锁存器、计数器、分频器、多路 复用器和移位寄存器,运算电路宏模块包括逻辑预算模 块、加法器、减法器、乘法器、绝对值运算器、数值比 较器、编译码器和奇偶校验器。 对于这些小规模的集成电路,在数字电路课程中有详细 的介绍。他们的调入方法和Megafunction库中的宏模 块是一样的,只是端口和参数无法设置。19 计数器74161设计举例模10计数器20 仿真结果模10计数器仿真波形21 实验二/作业4-1 基于Quartus II软件,用D触发器设计一个2分频电路,并做波形 仿真,在此基础上,设计一个4分频和8分频电路,做波形仿真。 4-2 基于Quartus II软件,用7490设计一个能计时(12小时)、计分 (60分)和计秒(60秒)的简单数字钟电路。设计过程如下: (1)先用Quartus II的原理图输入方式,用7490连接成包含进位输出 的模60的计数器,并进行仿真,如果功能正确,则将其生成一个部件; (2)将7490连接成模12的计数器,进行仿真,如果功能正确,也将其 生成一个部件; (3)将以上两个部件连接成为简单的数字钟电路,能计时、计分和计秒, 计满12小时后系统清0重新开始计时。22 实验三/作业4.1 采用Quartus II软件的宏功能模块lpm_counter设计 一个模为60的加法计数器,进行编译和仿真,查看仿真结果。 4.3 先利用LPM_ROM设计8位×8位乘法器,进行编译和仿 真,查看仿真结果。 4.4 用数字锁相环实现分频,假定输入时钟频率为10MHz, 想要得到6MHz的时钟信号,试用altpll宏功能模块实现该电 路。23 实验报告要求(4) 实验报告: a.简单叙述设计流程(可以用流程图); b.给出各层次的原理图; c.给出各层次的综合报告; d.给出各层次的仿真波形图(包括功能仿真和时序仿真,注 意要把尽可能多的信息放在同一个仿真图里,并且在仿真 图上标注必要的信息,标注不规定标的地方和数量,每人 根据自己的理解标注,越多越好)。 ? e.给出时序仿真的延时、最大工作频率、建立时间和保持 时间的情况。 ? ? ? ? ?24 包含各类专业文献、外语学习资料、中学教育、行业资料、应用写作文书、生活休闲娱乐、各类资格考试、31EDA第3章-宏功能模块等内容。
 EDA第七章作业 隐藏&& 第七章 宏功能模块与 IP 应用 7-5 将例 7-4 的顶层程序和例 7-3 的 ROM 程序合并成为一个程序,要求用例化语句直接调用 LPM 模块...  宏模块来实现一些复杂的功能, 这里就是用到了宏模块...三、 程序图 EDA 宏模块:LPM_MULT:相乘,LPM_ADD...第八章 宏的设计与应用 13页 免费 8.3、宏对象...  EDA复习资料(期末) 14页 1财富值 quartus宏功能模块介绍 8页 免费 FPGA Quartus...EDA复习要点EDA复习要点隐藏&& 第1章 第2章 第3章 第4章 第5章 第6章 ...  EDA 技术实用教程 第一章 1-1 EDA 技术与 ASIC ...答:IP 是知识产权核或知识产权模块,用于 ASIC 或 ...第三章 3-1 OLMC(输出逻辑宏单元)有何功能?说明...  所以我运用到了宏功能模块的使用,上课时老师也 讲过宏模块的使用, 但当时听得...6 参考文献 [1] 江国强.EDA 技术与应用(第三版) 电子工业出版社 [2] ...  Quartus II 支持 Altera 的 IP 核,包含了 LPM/MegaFunction 宏功能模块库, ...工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三 方 EDA 工具...  二、课程内容与基本要求 (一)概论 介绍现代 EDA 技术,VHDL 概况,介绍自顶向下...(实验 3-6);数控分频器 第 7 章: 宏功能模块与 IP 使用 11 月 3 日 ...  EDA第七章_宏功能模块与... 72页 2下载券 FPGA_Quartus_2宏功能模... 84...宏功能模块与 IP 应用 6.1 宏功能模块概述 这里主要介绍 LPM 宏功能模块,它...  (2)EDA 有各类库的支持,可以完成各种自动设计过程。(3)HDL 是文档型语言, ...结构 第 7 章 宏功能模块与 IP 核 1.掌握宏功能模块的使用 第 8 章 ...}

我要回帖

更多关于 双端口存储器原理实验 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信