出现expr syntax errorr at end of input 情况

Quartus常见问题_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
评价文档:
喜欢此文档的还喜欢
Quartus常见问题
阅读已结束,如果下载本文需要使用
想免费下载本文?
把文档贴到Blog、BBS或个人站等:
普通尺寸(450*500pix)
较大尺寸(630*500pix)
你可能喜欢C语言入门程序 “error: syntax error at end of input”何解?
[问题点数:20分,结帖人kene2000]
C语言入门程序 “error: syntax error at end of input”何解?
[问题点数:20分,结帖人kene2000]
不显示删除回复
显示所有回复
显示星级回复
显示得分回复
只显示楼主
相关帖子推荐:
2011年2月 C/C++大版内专家分月排行榜第二2010年2月 C/C++大版内专家分月排行榜第二2009年12月 C/C++大版内专家分月排行榜第二2009年11月 C/C++大版内专家分月排行榜第二
2009年10月 C/C++大版内专家分月排行榜第三
2011年2月 C/C++大版内专家分月排行榜第二2010年2月 C/C++大版内专家分月排行榜第二2009年12月 C/C++大版内专家分月排行榜第二2009年11月 C/C++大版内专家分月排行榜第二
2009年10月 C/C++大版内专家分月排行榜第三
本帖子已过去太久远了,不再提供回复功能。带你认识更牛的人下载即送20张免费照片冲印
扫码下载App
温馨提示!由于新浪微博认证机制调整,您的新浪微博帐号绑定已过期,请重新绑定!&&|&&
LOFTER精选
网易考拉推荐
Must be corrected. The program's execution is terminated when the error is encountered, unless for I/O statements the program uses the END or ERR I/O statement specifiers to transfer control, perhaps to a routine that uses the IOSTAT specifier (see your DEC Fortran user manual).
The severe messages follow (in alphabetical order):
o MESSAGE: Adjustable array dimension error
NUMBER: 93
EXPLANATION: Upon entry to a subprogram, one of the following errors was detected during the evaluation of dimensioning information:
- An upper-dimension bound was less than a lower-dimension bound. - The dimensions implied an array that was larger than addressable memory.
o MESSAGE: Attempt to access non-existent record
NUMBER: 36
EXPLANATION: A direct-access READ or FIND statement attempted to access beyond the end of a relative file (or a sequential file on disk with fixed-length records) or access a record that was previously deleted in a relative file.
o Array index out of bounds (SIGTRAP)
NUMBER: 138
EXPLANATION: Break exception generated a SIGTRAP signal (described in signal(3)). Core dump file created.
The cause is an array subscript that is outside the dimensioned boundaries of that array. Try recompiling using the -check bounds option (perhaps with the f77_dump_flag environment variable set) or examine the core dump file to determine the source code in error.
o MESSAGE: Array index out of bounds for index n (SIGTRAP)
NUMBER: 139
EXPLANATION: Break exception generated a SIGTRAP signal (described in signal(3)). Core dump file created.
The cause is an array subscript that is outside the dimensioned boundaries of the array index n. Try recompiling using the -check bounds option (perhaps with the f77_dump_flag environment variable set) or examine the core dump file to determine the source code in error.
o MESSAGE: BACKSPACE error
NUMBER: 23
EXPLANATION: An error condition was detected during execution of a BACKSPACE statement.
o MESSAGE: Cannot overwrite existing file
NUMBER: 10
EXPLANATION: Specified file xxx already exists when OPEN statement specified STATUS='NEW' (create new file) using I/O unit x. Make sure correct file name, directory path, unit, and so forth were specified in the source program. Decide whether to:
- Rename or remove the existing file before rerunning the program. - Modify the source file to specify different file specification, I/O unit, or OPEN statement STATUS='UNKNOWN'.
o MESSAGE: Cannot stat file
NUMBER: 108
EXPLANATION: Attempted stat operation on the indicated file failed. Make sure correct file and unit were specified.
o MESSAGE: CLOSE error
NUMBER: 28
EXPLANATION: An error condition was detected by the DEC Fortran RTL I/O system during execution of a CLOSE statement.
o MESSAGE: DELETE error
NUMBER: 55
EXPLANATION: An error condition was detected by the DEC Fortran RTL I/O system during execution of a DELETE statement.
o MESSAGE: Divide by zero check (SIGTRAP)
NUMBER: 137
EXPLANATION: Break exception generated a SIGTRAP signal (described in signal(3)). Core dump file created.
Examine core dump file for possible cause.
o MESSAGE: Duplicate file specifications
NUMBER: 21
EXPLANATION: Multiple attempts were made to specify file attributes without an intervening close operation. A DEFINE FILE statement was followed by another DEFINE FILE statement or an OPEN statement.
o MESSAGE: ENDFILE error
NUMBER: 33
EXPLANATION: One of the following conditions occurred:
- The file was not a sequential organization file with variable-length records.
- The file was not opened for sequential or append access.
- An unformatted file did not contain segmented records.
- The DEC Fortran RTL I/O system detected an error during execution of an ENDFILE statement.
o MESSAGE: End-of-file during read
NUMBER: 24
EXPLANATION: One of the following conditions occurred:
- A DEC Fortran RTL I/O system end-of-file condition was encountered during execution of a READ statement that did not contain an END, ERR, or IOSTAT specification.
- An end-of-file record written by the ENDFILE statement was encountered during execution of a READ statement that did not contain an END, ERR, or IOSTAT specification.
- An attempt was made to read past the end of an internal file character string or array during execution of a READ statement that did not contain an END, ERR, or IOSTAT specification.
o MESSAGE: Error during read
NUMBER: 39
EXPLANATION: The DEC Fortran RTL I/O system detected an error condition during execution of a READ statement.
o MESSAGE: Error during write
NUMBER: 38
EXPLANATION: The DEC Fortran RTL I/O system detected an error condition during execution of a WRITE statement.
o MESSAGE: File name specification error
NUMBER: 43
EXPLANATION: The file name was specified erroneously.
o MESSAGE: File not found
NUMBER: 29
EXPLANATION: A file with the specified name could not be found during an open operation.
o MESSAGE: FIND error
NUMBER: 57
EXPLANATION: The DEC Fortran RTL I/O system detected an error condition during execution of a FIND statement.
o MESSAGE: Floating overflow in math library
NUMBER: 88
EXPLANATION: A floating-point overflow condition was detected during execution of a math library procedure.
o MESSAGE: Floating underflow in math library
NUMBER: 89
EXPLANATION: A floating-point underflow condition was detected during execution of a math library procedure. The result returned was zero.
o MESSAGE: Format/variable-type mismatch
NUMBER: 61
EXPLANATION: An attempt was made either to read or write a real variable with an integer field descriptor (I or L), or to read or write an integer or logical variable with a real field descriptor (D, E, F, or G).
o MESSAGE: Formatted I/O to unit open for unformatted transfers
NUMBER: 257
EXPLANATION: Attempted formatted I/O (such as list-directed or namelist I/O) to a unit where the OPEN statement indicated the file was unformatted (FORM keyword). Check that the correct unit (file) was specified.
If the FORM keyword was not specified in the OPEN statement and the file should contain formatted data, specify FORM='FORMATTED' in the OPEN statement. Otherwise, if appropriate, use unformatted I/O.
o MESSAGE: Inconsistent file organization
NUMBER: 51
EXPLANATION: The file organization specified in an OPEN statement did not match the organization of the existing file.
o MESSAGE: Inconsistent OPEN/CLOSE parameters
NUMBER: 46
EXPLANATION: Specifications in an OPEN or CLOSE statement were inconsistent. Some invalid combinations follow:
- READONLY with STATUS='NEW' or STATUS='SCRATCH'
- ACCESS='APPEND' with READONLY, STATUS='NEW' or STATUS='SCRATCH'
- DISPOSE='SAVE', 'PRINT', or 'SUBMIT' with STATUS='SCRATCH'
- DISPOSE='DELETE' with READONLY
o MESSAGE: Inconsistent record length
NUMBER: 37
EXPLANATION: An attempt was made to open a direct access file without specifying a record length.
o MESSAGE: Inconsistent record type
NUMBER: 44
EXPLANATION: The RECORDTYPE value in an OPEN statement did not match the record type attribute of the existing file that was opened.
o MESSAGE: Infinite format loop
NUMBER: 60
EXPLANATION: The format associated with an I/O statement that included an I/O list had no field descriptors to use in transferring those values.
o MESSAGE: Input conversion error
NUMBER: 64
EXPLANATION: During a formatted input operation, an invalid character was detected in an input field, or the input value overflowed the range representable in the input variable. The value of the variable was set to zero.
o MESSAGE: Input record too long
NUMBER: 22
EXPLANATION: A record was read that exceeded the explicit or default record length specified when the file was opened. To read the file, use an OPEN statement with a RECL= value (record length) of the appropriate size.
o MESSAGE: Input statement requires too much data
NUMBER: 67
EXPLANATION: An unformatted READ statement attempted to read more data than existed in the record being read.
o MESSAGE: Insufficient virtual memory
NUMBER: 41
EXPLANATION: The DEC Fortran RTL was unable to acquire additional virtual memory from the operating system. Users of the C and Korn shells may be able to overcome this problem by increasing the per-process data limit using the limit (C shell) or ulimit (Korn shell) commands. For more information, see the csh(1) and ksh(1) reference pages.
If the maximum per-process data size is already allocated, increase the value of the maxdsiz parameter in the system's configuration file. Note that edits to the configuration file do not take effect until the operating system kernel has been rebuilt, and the system has been rebooted. For more information, see the doconfig(1) reference page and your operating system guide to system configuration.
o MESSAGE: Integer overflow
NUMBER: 70
EXPLANATION: During an arithmetic operation, an integer value exceeded byte, word, or longword range. The result of the operation was the correct low-order part. See your DEC Fortran user manual for ranges of the various integer data types.
o MESSAGE: Integer zero divide
NUMBER: 71
EXPLANATION: During an integer arithmetic operation, an attempt was made to divide by zero. The result of the operation was set to the dividend, which is equivalent to division by 1.
o MESSAGE: Internal consistency check failure
EXPLANATION: Internal severe error. Please check that the program is correct. Recompile if an error exists in the program.
If this error persists, submit an SPR.
o MESSAGE: Invalid argument to Fortran Run-Time Library
NUMBER: 48
EXPLANATION: The compiler passed an invalid or improperly coded argument to the DEC Fortran RTL. This can occur if the compiler is newer than the RTL in use.
o MESSAGE: Invalid argument to math library
NUMBER: 81
EXPLANATION: One of the mathematical procedures detected an invalid argument value.
o MESSAGE: Invalid logical unit number
NUMBER: 32
EXPLANATION: A logical unit number greater than or less than zero was used in an I/O statement.
o MESSAGE: Invalid reference to variable in NAMELIST input
NUMBER: 19
EXPLANATION: One of the following conditions occurred:
- The variable was not a member of the namelist group.
- An attempt was made to subscript the scalar variable.
- A subscript of the array variable was out-of-bounds.
- An array variable was specified with too many or too few subscripts for the variable.
- An attempt was made to specify a substring of a non- character variable or array name.
- A substring specifier of the character variable was out-of-bounds.
- A subscript or substring specifier of the variable was not an integer constant.
- An attempt was made to specify a substring using an unsubscripted array variable.
o MESSAGE: Kernel breakpoint (SIGTRAP)
NUMBER: 131
EXPLANATION: Break exception generated a SIGTRAP signal (described in signal(3)). Core dump file created.
Examine core dump for possible cause.
o MESSAGE: Keyword value error in OPEN statement
NUMBER: 45
EXPLANATION: An improper value was specified for an OPEN or CLOSE statement keyword requiring a value.
o MESSAGE: List-directed I/O syntax error
NUMBER: 59
EXPLANATION: The data in a list-directed input record had an invalid format, or the type of the constant was incompatible with the corresponding variable. The value of the variable was unchanged.
o MESSAGE: Logarithm of zero or negative value
NUMBER: 83
EXPLANATION: An attempt was made to take the logarithm of zero or a negative number. The result returned was the reserved operand, -0.
o MESSAGE: Mixed file access modes
NUMBER: 31
EXPLANATION: An attempt was made to use any of the following combinations:
- Formatted and unformatted operations on the same unit.
- An invalid combination of access modes on a unit, such as direct and sequential.
- A DEC Fortran RTL I/O statement on a logical unit that was opened by a program coded in another language.
o MESSAGE: No such device
NUMBER: 42
EXPLANATION: A pathname included an invalid or unknown device name when an OPEN operation was attempted.
o MESSAGE: Not a Fortran-specific error
EXPLANATION: An error occurred in the user program or in the RTL that was not a DEC Fortran-specific error.
o MESSAGE: Not taken branch delay emulation (SIGTRAP)
NUMBER: 134
EXPLANATION: Break exception generated a SIGTRAP signal (described in signal(3)). Core dump file created.
Examine core dump for possible cause.
o MESSAGE: OPEN or DEFINE FILE required
NUMBER: 26
EXPLANATION: A direct access READ, WRITE, or FIND, statement was attempted for a file when no DEFINE FILE or OPEN statement with ACCESS='DIRECT' was performed for that file.
o MESSAGE: Open failure
NUMBER: 30
EXPLANATION: An error was detected by the DEC Fortran RTL I/O system while attempting to open a file in an OPEN, INQUIRE, or other I/O statement. This message is issued when the error condition is not one of the more common conditions for which specific error messages are provided. It can occur if an OPEN operation is attempted for one of the following files:
- A segmented file that was not on a disk or a raw magnetic tape. - A standard I/O file that had been closed.
o MESSAGE: Operation requires seek ability
NUMBER: 120
EXPLANATION: Attempted an operation on a file that requires the ability to perform seeks on that file. Make sure the correct unit, directory path, and file were specified.
o MESSAGE: Output statement overflows record
NUMBER: 66
EXPLANATION: An output statement attempted to transfer more data than would fit in the maximum record size.
o MESSAGE: Overflow check (SIGTRAP)
NUMBER: 136
EXPLANATION: Break exception generated a SIGTRAP signal (described in signal(3)). Core dump file created.
The cause is an integer overflow. Try recompiling using the -check overflow option (perhaps with the f77_dump_flag environment variable set) or examine the core dump file to determine the source code in error.
o MESSAGE: Pathname error
NUMBER: 43
EXPLANATION: A pathname (or file name) given to an OPEN or INQUIRE statement was not acceptable to the DEC Fortran RTL I/O system.
o MESSAGE: Permission to access file denied, unit x, file xxx
EXPLANATION: Check the mode (protection) of the specified file. Make sure the correct file was being accessed. Change the protection, specified file, or process used before rerunning program.
o MESSAGE: Record number outside range
NUMBER: 25
EXPLANATION: A direct access READ, WRITE, or FIND statement specified a record number outside the range specified when the file was opened.
o MESSAGE: Recursive I/O operation
NUMBER: 40
EXPLANATION: While processing an I/O statement for a logical unit, another I/O operation on the same logical unit was attempted, such as a function subprogram that performs I/O to the same logical unit was referenced in an expression in an I/O list or variable format expression.
o MESSAGE: REWIND error
NUMBER: 20
EXPLANATION: One of the following conditions occurred:
- The file was not a sequential file.
- The file was not opened for sequential or append access.
- The DEC Fortran RTL I/O system detected an error condition during execution of a REWIND statement.
o MESSAGE: Segmented record format error
NUMBER: 35
EXPLANATION: An invalid segmented record control data word was detected in an unformatted sequential file. The file was probably either created with RECORDTYPE='FIXED' or 'VARIABLE' in effect, or was created by a program written in a language other than Fortran.
o MESSAGE: Significance lost in math library
NUMBER: 87
EXPLANATION: The magnitude of an argument or the magnitude of the ratio of the arguments to a math library function was so large that all significance in the result was lost. The result returned was the reserved operand, -0.
o MESSAGE: Square root of negative value
NUMBER: 84
EXPLANATION: An argument required the evaluation of the square root of a negative value. The result returned was the reserved operand, -0.
o MESSAGE: Subscript out of range
NUMBER: 77
EXPLANATION: An array reference was detected outside the declared array bounds.
o MESSAGE: Syntax error in format
NUMBER: 62
EXPLANATION: A syntax error was encountered while the RTL was processing a format stored in an array or character variable.
o MESSAGE: Syntax error in NAMELIST input
NUMBER: 17
EXPLANATION: The syntax of input to a namelist READ statement was incorrect.
o MESSAGE: Taken branch delay emulation (SIGTRAP)
NUMBER: 133
EXPLANATION: Break exception generated a SIGTRAP signal (described in signal(3)). Core dump file created.
Examine core dump for possible cause.
o MESSAGE: Too many records in I/O statement
NUMBER: 27
EXPLANATION: An attempt was made to do one of the following:
- Read or write more than one record with an ENCODE or DECODE statement. - Write more records than existed.
o MESSAGE: Too many values for NAMELIST variable
NUMBER: 18
EXPLANATION: An attempt was made to assign too many values to a variable during a namelist READ statement.
o MESSAGE: Undefined exponentiation
NUMBER: 82
EXPLANATION: An exponentiation that is mathematically undefined was attempted, for example, 0.**0. The result returned for floating-point operations was the reserved operand, -0, and for integer operations, zero.
o MESSAGE: Unformatted I/O to unit open for formatted transfers
NUMBER: 256
EXPLANATION: Attempted unformatted I/O to a unit where the OPEN statement indicated the file was formatted (FORM keyword). Check that the correct unit (file) was specified.
If the FORM keyword was not specified in the OPEN statement and the file should contain unformatted data, specify FORM='UNFORMATTED' in the OPEN statement. Otherwise, if appropriate, use formatted I/O (such as list-directed or namelist I/O).
o MESSAGE: Unit already open
NUMBER: 34
EXPLANATION: A DEFINE FILE statement specified a logical unit that was already opened.
o MESSAGE: Unit not connected
NUMBER: 11
EXPLANATION: The specified unit was not open at the time of the attempted I/O operation. Check if correct unit number was specified. If appropriate, use an OPEN statement to explicitly open the file (associates the file with the unit number).
o MESSAGE: User breakpoint (SIGTRAP)
NUMBER: 130
EXPLANATION: Break exception generated a SIGTRAP signal (described in signal(3)). Core dump file created.
Examine core dump for possible cause.
o MESSAGE: User single step (SIGTRAP)
NUMBER: 135
EXPLANATION: Break exception generated a SIGTRAP signal (described in signal(3)). Core dump file created.
Examine core dump for possible cause.
o MESSAGE: Variable format expression value error
NUMBER: 68
EXPLANATION: The value of a variable format expression was not within the range acceptable
for example, a field width was less than or equal to zero. A value of 1 was assumed, except for a P edit descriptor, for which a value of zero was assumed.
o MESSAGE: Write to READONLY file
NUMBER: 47
EXPLANATION: A write operation was attempted to a file that was declared READONLY in the OPEN statement that is currently in effect.
o MESSAGE: Wrong number of arguments
NUMBER: 80
EXPLANATION: An improper number of arguments was used to call a math library procedure.
Should be corrected. The program may continue execution, but the output from this execution may be incorrect.
The error messages follow (in alphabetical order):
o MESSAGE: Floating divide by zero
NUMBER: 73
EXPLANATION: During a floating-point arithmetic operation, an attempt was made to divide by zero.
o MESSAGE: Floating invalid
NUMBER: 65
EXPLANATION: During an arithmetic operation, the floating-point value generated resulted in an invalid format (not representable for that data type).
o MESSAGE: Floating overflow
NUMBER: 72
EXPLANATION: During an arithmetic operation, a floating-point value exceeded the largest representable value for that data type. See your DEC Fortran user manual for ranges of the various data types.
o MESSAGE: Floating point exception
NUMBER: 75
EXPLANATION: A floating-point exception occurred. Core dump file created. Possible causes include divide by zero, overflow, or an invalid operation, such as subtraction of infinite values, multiplication of zero by infinity (without signs), division of zero by zero or infinity by infinity, and conversion of floating-point to fixed-point format when an overflow prevents conversion.
o MESSAGE: Floating underflow
NUMBER: 74
EXPLANATION: During an arithmetic operation, a floating-point value became less than the smallest representable value for that data type. On RISC systems (depending on the values of the f77 command -fpe option), the underflowed result was either set to zero or allowed to gradually underflow. On AXP systems, the underflowed result is set to zero. See your DEC Fortran user manual for ranges of the various data types.
o MESSAGE: Fortran abort routine called
NUMBER: 266
EXPLANATION: The program called abort to terminate the program.
o MESSAGE: IOT trap signal
NUMBER: 76
EXPLANATION: Core dump file created. Examine core dump for possible cause of this IOT signal.
o MESSAGE: Output conversion error
NUMBER: 63
EXPLANATION: During a formatted output operation, the value of a particular number could not be output in the specified field length without loss of significant digits. When this situation is encountered, the field is filled with asterisks.
o MESSAGE: Process interrupted (SIGINT)
NUMBER: 69
EXPLANATION: The process received the signal SIGINT. Determine source of this interrupt signal (described in signal(3)).
o MESSAGE: Process killed (SIGTERM)
NUMBER: 78
EXPLANATION: The process received the signal SIGTERM. Determine source of this software termination signal (described in signal(3)).
o MESSAGE: Process quit (SIGQUIT)
NUMBER: 79
EXPLANATION: The process received the signal SIGQUIT. Core dump file created. Determine source of this quit signal (described in signal(3)).
Should be investigated. The program continues execution, but the output from this execution may be incorrect.
The warning messages follow (in alphabetical order):
o MESSAGE: Could not open message catalog: formsg.cat
NUMBER: none
EXPLANATION: The DEC Fortran message file was not found on this system. See your DEC Fortran user manual for more information.
For informational purposes only. Unless it accompanies another message, the program continues.
The informational messages follow (in alphabetical order):
o MESSAGE: Check environment variable NLSPATH and protection of path-name/for_msg.dat
NUMBER: none
EXPLANATION: The DEC Fortran message file was not found on this system. For more information, see your DEC Fortran user manual or your DEC Fortran installation guide.
o MESSAGE: Check location/protection of NLS and /usr/lib/formsg.dat
NUMBER: none
EXPLANATION: The DEC Fortran message file was not found on this system. See your DEC Fortran user manual for more information.
o MESSAGE: nn floating divide-by-zero traps
NUMBER: 299
EXPLANATION: The total number of floating-point divide-by-zero traps encountered during program execution was nn. This summary message appears at program completion.
o MESSAGE: nn floating invalid traps
NUMBER: 297
EXPLANATION: The total number of floating-point invalid data traps encountered during program execution was nn. This summary message appears at program completion.
o MESSAGE: nn floating overflow traps
NUMBER: 298
EXPLANATION: The total number of floating-point overflow traps encountered during program execution was nn. This summary message appears at program completion.
o MESSAGE: Floating-point conversion failed
NUMBER: 95
EXPLANATION: The attempted unformatted read or write of non-native floating-point data failed. A non-native floating-point value either exceeded the allowable maximum value for the equivalent native format and was set equal to invalid, or the value was infinity (plus or minus), not a number (NaN), or otherwise invalid and was set to invalid. Very small numbers are set to zero (0). This could be caused by the specified non-native floating-point format not matching the floating-point format found in the specified file.
Make sure the correct file was specified. Make sure the record layout matches the format DEC Fortran is expecting. Check that the correct non-native floating-point data format was specified, as described in your DEC Fortran user manual.
o MESSAGE: nn floating underflow traps
NUMBER: 300
EXPLANATION: The total number of floating-point underflow traps encountered during program execution was nn. This summary message appears at program completion.
o MESSAGE: Format syntax error at or near xx
NUMBER: 58
EXPLANATION: Check the statement containing xx, a character substring from the format string, for a format syntax error. For information about FORMAT statements, refer to the "DEC Fortran Language Reference Manual".
o MESSAGE: Fortran error message number is nnn
NUMBER: none
EXPLANATION: The DEC Fortran message file was not found on this system. For information about the message file location and the error text that corresponds to the listed error number, nnn, see your DEC Fortran user manual.
引文来源&&
阅读(7145)|
用微信&&“扫一扫”
将文章分享到朋友圈。
用易信&&“扫一扫”
将文章分享到朋友圈。
历史上的今天
loftPermalink:'',
id:'fks_081067',
blogTitle:'Fortran 运行中给出的系统错误及解决方法_Scientific Life_百度空间',
blogAbstract:'
Fortran 运行中给出的系统错误及解决方法
Must be corrected. The program\'s execution is terminated when the error is encountered, unless for I/O statements the program uses the END or ERR I/O statement specifiers to transfer control, perhaps to a routine that uses the IOSTAT specifier (see your DEC Fortran user manual).
blogTag:'',
blogUrl:'blog/static/',
isPublished:1,
istop:false,
modifyTime:5,
publishTime:2,
permalink:'blog/static/',
commentCount:0,
mainCommentCount:0,
recommendCount:0,
bsrk:-100,
publisherId:0,
recomBlogHome:false,
currentRecomBlog:false,
attachmentsFileIds:[],
groupInfo:{},
friendstatus:'none',
followstatus:'unFollow',
pubSucc:'',
visitorProvince:'',
visitorCity:'',
visitorNewUser:false,
postAddInfo:{},
mset:'000',
remindgoodnightblog:false,
isBlackVisitor:false,
isShowYodaoAd:false,
hostIntro:'',
hmcon:'1',
selfRecomBlogCount:'0',
lofter_single:''
{list a as x}
{if x.moveFrom=='wap'}
{elseif x.moveFrom=='iphone'}
{elseif x.moveFrom=='android'}
{elseif x.moveFrom=='mobile'}
${a.selfIntro|escape}{if great260}${suplement}{/if}
{list a as x}
推荐过这篇日志的人:
{list a as x}
{if !!b&&b.length>0}
他们还推荐了:
{list b as y}
转载记录:
{list d as x}
{list a as x}
{list a as x}
{list a as x}
{list a as x}
{if x_index>4}{break}{/if}
${fn2(x.publishTime,'yyyy-MM-dd HH:mm:ss')}
{list a as x}
{if !!(blogDetail.preBlogPermalink)}
{if !!(blogDetail.nextBlogPermalink)}
{list a as x}
{if defined('newslist')&&newslist.length>0}
{list newslist as x}
{if x_index>7}{break}{/if}
{list a as x}
{var first_option =}
{list x.voteDetailList as voteToOption}
{if voteToOption==1}
{if first_option==false},{/if}&&“${b[voteToOption_index]}”&&
{if (x.role!="-1") },“我是${c[x.role]}”&&{/if}
&&&&&&&&${fn1(x.voteTime)}
{if x.userName==''}{/if}
网易公司版权所有&&
{list x.l as y}
{if defined('wl')}
{list wl as x}{/list}}

我要回帖

更多关于 500 error bad syntax 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信