有没有哪位筒子有基于xilinx fpga配置的fpga打地鼠游戏机的设计过程或者类似的经验。

Xilinx FPGA芯片设计细节首曝光(图文)
Xilinx FPGA芯片设计细节首曝光(图文)
  编者按:我很荣幸有机会去得到Xilinx的允许去介绍以下内容,这是Xcell Journal第四季度版上的文章。电子发烧友网还专门为广大FPGA设计工程师提供了创新技术电子书,内容精彩,不容错过。   电子发烧友网讯:这篇文章是关于如何实现FPGA设计的低功率运行。这是一个全球性的问题,因为,这对无线、军用移动设备和航空应用等依赖于电池电源的产品来说是非常重要的。这一类的应用覆盖了飞机、无人机和前线士兵等通信设备。   这是一篇纲要,是关于最新的7系列FPGA在开发周期的每个阶段中的低功率设计技术的。
  功率问题已经成为FPGA选型的首要考虑因素。电源管理是很多应用设计的关键。一些标准指定每个系统的最大功率。例如,设计师必须在设计流程的早起考虑到功率问题,这通常和FPGA的选型同时进行的。   通过减少电源供给线、简化电源供给设计和热量管理降低等手段降低FPGA的功率消耗可以简化板上设计。低功率对延长电池寿命、提高系统可靠性起着重要作用。
  功率挑战   随着工艺技术的发展,晶体管就如摩尔定律预言那样变得越来越小了。这个现象带来的一个弊端就是引致了晶体管的泄露,这就会引起更大的静态能量消耗——这是FPGA没有工作的时候产生的电流总量。增强的FPGA性能使时钟效率变得更高,这个会引致更高的动态功率。静态功率是由晶体管的泄漏电流决定的,动态功率则是基于可编程裸机和I/O的转换频率。随着这两种电源消耗的恶化,FPGA随着产品的更新换代逐渐增大其容量。更多的逻辑意味着在每个设备上高速运行着更多的晶体管以及更多的泄漏。   考虑到以上因素,设计者必须在其设计前期更加注意他们的电源和热量管理。在设备上面加上一个散热器并不能解决这些问题。真实情况是设计者必须尽量减少设计里面的逻辑。   让我们浏览一些指南,这可以帮你们了解在FPGA设计过程中为降低功耗而进行的某些操作。很明显,在设计的早期对这些事情有一个透彻的了解可以获得最大的回馈。 &   图一:从设计流程的FPGA选型到低功耗设计技术的过程中阐明不同的意义。
  7系列工艺技术   在FPGA的选型过程中,认真考虑工艺技术可以帮助你辨别设备的泄漏和性能。Xilinx的7系列FPGA是基于28HPL(28nm的高性能、低功耗)工艺,覆盖高性能空间,同时有明显的功耗降低。选择基于低泄漏HPL工艺可以降低在FPGA设计过程中的静态功耗管理方案的复杂程度以及花费。   在28HPL技术制造的FPGA相对于7系列的FPGA没有任何性能优势,然而某些产品,与FPGA相比,有着多于两倍的静态功耗和在减少泄漏方面有着竞争优势。图2展示了一个7系列FPGA综合的能耗降低途径,相对于上一代40nm的FPGA,可以降低一半的功耗。 &   图2:Xilinx7系列FPGA的功耗相对于之前的40nm产品降低一半功耗。   为了开发产品及将来将其迁移到一个较小的产品,设计师可以选择一个比较大的FPGA。选择一个比较小的FPGA不但可以降低花费,同时会降低系统的功耗。   全系列的FPGA产品都是基于统一的架构。这个统一的架构使不同的FPGA设备的向上或向下迁移变得更简单,在Xilinx的7系列产品线中的迁移也同样简单。如果考虑从Virtex-6或Spartan-6设备迁移到7系列以及在7系列中进行迁移,可以参考“7系列迁移指南”(UG429)。
  Xilinx的堆叠硅片互联技术   对于大型的系统,设计者通常会选择多样的FPGA,这类型的架构通常需要在不同的FPGA之间要有相当高速度的精妙和艰巨的数据转移。选择比较大的7系列FPGA,例如XC7V1500T和XC7V1500T设备,是利用Xilinx的堆叠硅片互联技术制造的。这可以解决以上的问题。简而言之,这个SSI技术(堆叠硅片互联技术)将多裸晶固定在一个硅中介层上面,这可以在它们之间提供成千上万的连接,这样就能制造出一个独立的大设备。堆叠硅片互联技术的一个好处就是与相同规模的标准单片产品相比,能降低降低静态功率的最大值。   堆叠硅片互联技术在I/O互联功率方面有明显的减小,与在一板上有多样化的FPGA相比,SSI技术引以为豪的一点就是相对于一个带有相同的I/O和收发器的接口,其在I/O互联功率方面有100X(带宽/W)的降低。这个明显的降低是因为他们所有的连接是在芯片上,而不是借助需要的功率去从芯片驱动信号,那样的话就可以得到不可思议的速度和低功耗。   表一:静态、动态和性能功率比较。 &
  电压调节的可选择性增强   Xilinx的7系列FPGA提供重要的电压调节选择。   7系列的FPGA给-3和-2L设备提供了一个比较大的温度范围(0-100℃)选择。由于28HPL工艺的缘故,2LE设备可以工作在1或者0.9V的电压。这些设备被称为-2L(1.0V)或者-2L(0.9V)。工作在1.0V的-2L设备有着和-2I和-2C一样的性能,但却有着更低的静态功率。工作在0.9V的-2L设备有着和-1I和-1C一样的性能,其同时也有着更低的静态和动态电压。   在0.9V的电压下,这些设备电压的独自下降可以降低静态功率大约30%的消耗。降压同样会降低性能,但是因为其速度和严格的泄漏规格,Xilinx屏蔽这些12L(0.9V)设备。与标准速度水平的设备相比,这种屏蔽方法会给功率带来55%的降低。   通过选择-2L系列设备,你可以在动态功率上获得额外的功率节省。因为动态功率与VCCINT2成比例,在VCCINT减少10%,则会在功率方面提供20%的节省。   功耗估算工具:   市面上有多种多样的工具提供给工程师选择,用来估算在整个研发周期FPGA设计所需要的热能和电源。图三展示了FPGA开发过程每个步骤有效的Xilinx工具。 &   在研发刚开始的时候,XPOWER Estimator(XPE)电子制表软件甚至可以提供草图设计和项目导入阶段之前的早期功耗估算。XPE有助于架构评估和设备选择,同时它还可以帮助选择适当的电源和应用所需的热量管理元器件。   PlanAhead软件提供RTL阶段的设计功率分配估算。设计者可以指定设备操作环境、I/O性能和设计使用限制的默认活动率,这也可同过GUI来完成。PlanAhead软件接下来就会读HDL编码去估算所需的设计资源,同时记录每个资源静态分析的功率。随着对设计意图的细节的了解深入,RTL功率估算将会比Xpower Estimator电子制表软件更加精确,其精确度却并不如Xpower Analyzer。   Xpower Analyzer (XPA)是一个功率分析工具,它提供一个制定工作条件下允许细节分析功耗和热量信息的综合性GUI。   你可以在两种不同的观点之间切换,以鉴定阻碍型(时钟树、逻辑、信号、I/O等)或者超过设计层的功耗。   它们提供一个非常有效的方法去确定障碍的位置,从而进行功率优化。
  软件功率优化   通过最小化同时有效的block RAM端口的数量,你可以利用block RAMs来对功率进行优化设计。这次优化,使-power选择在 XST 状态,修改跨越多样block RAM的RAM和ROM描述。这个优化调整位置线、端口和写控制信号,这样就可以最小化在每个时钟周期里面的有效的block RAM的数量,同时保证你的设计满足时间限制。   之后,促进效率的最优化而忽略对性能的冲击。当你了解时序路径与内存的关系并不重要的时候,将block_power2选项调为ram_style限制。这样可以节约15%到75%。   同样,用XST的Area Optimization 模式,这个选择会使你设计用到的资源数量最小化。应注意的是当区域最优化以后,性能会受到限制。   一个额外的策略是activity-aware最优化,这是intelligent gating的另一种说法。这些算法分析逻辑方程式去检测每个对结果没任何影响的时钟周期源寄存器。软件利用FPGA逻辑中充足的时钟启动(CE)可利用的资源去产生细粒度门信号。在很多方案里,的核心动态功率总体减少超过15%,额外插入的门逻辑并不影响性能。   功率设置的另一种方法是利用capacitance-aware最优化,有两个关键技术:   Group clock loads:这个过程整理同步元素(例如触发器或者DSP blocks)去最小化每一个时钟网的范围,当你把clock loads沿着小部分的水平或者垂直的clock spines放置,软件会禁止时钟区域的未使用的分支。这回减少时钟资源和缓冲要求,这样就会节省核心动态功率,这个是同过map-power选项控制的。   Group data loads:这个算法最小化你设计中的用线长度,同时还确保你满足性能需求。因为动态功率随着你能看到的路线架构的类型,Grouping data loads能够节省功耗。这个grouping算法,同样的使在 map – power选项,通过将相同的逻辑摆在一起降低功耗。   ISE 设计组合突出其预期目标和策略,使功率在综合、map的情况下最优化。这种方法或许是利用所有综合限制的不默认限制设置的最好替代。然而,在这个情况下,会引起延时。   最好,Xilinx执行工具自动化关掉不需要用到的收发器、锁相回路、数字时钟管理模块和I/O。在7系列,Xilinx也添加不需要用到block RAM的电源门。Block RAM的泄漏只有在你使用特殊设计的block中发生,而不是发生在设备上所有的block RAM。 &
  低功耗设计技术   工程师可以搜索到很多降低FPGA设计功耗的贴士和技术,首选是用专用的硬件模块而不是在CLB中执行相同的裸机。为了减少功耗,你必须在设计中想法设法寻找可能存在的方法。这允许你去用到一个尽可能小的设备,同时减低静态功耗。   用专用的硬核模块是降低静态和动态功率的一个最重要的方法,同时这样也能够轻易满足时间需求。因为相对于一个等效的CLB逻辑,硬核的晶体管数量远远比CLB少,因此它能够降低静态功耗。   按照通常的做法,你可以尝试去推断出尽可能多的资源。你可以通过代码或者在限制文档中   为FPGA构造或者硅资源独自或作为一个整体控制推断资源量。为了安装特定的资源,你同样可以影响Xilinx的 CORE Generator 工具去自定义专用硬件。   除此之外,你可以为其他不显著的任务明智地采用不会被用到的硬核。DSP48 slice如倍频器、加法器/累加器、逻辑比较器、模式适配器和计数器那样处理很多逻辑功能。你可以将block RAMs当作状态机、数学函数、ROMS和逻辑查找表使用。   控制信号的最佳使用   控制信号(如时钟、设置、复位、时钟启动等的控制同步基础信号)的使用会影响设备的密度、利用率和性能。遵循一些指导会帮你将功率影响降到最低。   首先,避免在一个累加寄存器或锁存器上使用一个设置和复位。Xilinx FPGA的触发器支持异步和同步设置和复位控制。然而,底层触发器本身每次只能执行设置、复位、预设值或者清除。在RTL编码里面编进多于一个的功能则会造成执行一个用触发器SR端口的状态,其他状况则是用结构逻辑,这样就会用到更多的FPGA资源。   如果其中的一个条件是同步,其他的是异步,那么异步状态将会是唯一一个利用SR端口执行的,同时同步状态则用结构逻辑实现。总之,最好避免多于一个状态。此外,如果触发器的SR端口是同步或者异步,四个触发器在同意情况下确认的时候,只有一个产生作用。   除此之外,用高逻辑电平控制信号,寄存器的控制端口是高电平。不建议在FPGA设计中用地逻辑电平复位。因为低逻辑电平信号在可以直接驱动寄存器的控制端口之前需要倒置,因此它们用到更多查找表。这个倒置必须通过一个LUT完成,因此会占去一个LUT输入。   因此,低逻辑电平控制信号可能导致更长的执行时间,同时会引致设备的利用率变低,这会影响时序和功耗。   在HDL编码或者具体元器件中尽可能用到高逻辑电平控制信号。当在设计的时候不能够控制一个控制性信号的极性,你应该在编码的最高层反置信号。I/O逻辑会执行没有用到任何额外FPGA逻辑的反相器,因此会达到最优的利用、性能和功率。
  多余的设置和复位
  代码中多余的设置和复位可以避免SRLs、LUT RAMs、RAMs模块和其他方面可能涉及的逻辑结构的推断。尽管设计师会发现这不合适,很多的回路会被设计去自我复位,或者简化不需要复位。例如,当一个电路只是用来初始化寄存器,复位是不需要的。因为寄存器初始化在配置的时候自动发生。   通过减少设置和复位的使用,随着设备的利用率的提高,设计师可以得到更好的安排,性能提高和功耗减少。   如果你对低功耗是很在意的,另一个必须关注的领域则是时钟和模块活动。你应该充分利用BUFGMUX,BUFGCE和BUFHCE去降低功耗。这些约束条件会在整个时域暂停时钟。同样地,对应用来说,这只可以通过使用FPGA寄存器的时钟启动接口去暂停设计的一个小区域的时钟。   分布在多种时钟区域的设计利用到更多的时钟资源,同时其功率损耗也会更大。只要有可能,在一个单独时钟域里面间歇地使用逻辑(图5)。这会帮助你减少功耗。虽然工具会自动完成这个,但有些设计还是需要手动去获得同样的效果。    图5:如有可能,在一个时钟区域里面用一些逻辑   另一个重要的技术是限制数据运动。移动文件只是结果,而不仅仅是在PFGA移动操作对象。用到更少和更短的总线可以使其电容量更小,运行速度更快,同时功耗也不大。在预布局的时候,工程师对设计的引脚和相对应的逻辑放置应该加倍注意。   
  降低静态功耗的局部可重构技术   降低静态功耗的的一个方法是简单的选用一个更小的设备。通过局部可重构技术,设计师可以从基本上时间片一个FPGA,同时能够单独的运行他们的部分设计。因为并不是设计的每一部分都需要100%的时候,所以这些设计之后会需要一个更加小的设备。   局部可重构技术可能会像处理静态功耗那样降低动态功耗。例如,许多设计必须快速运行,但最大性能可能只需要很少的时间。为了节省电能,设计师利用局部可重构技术去置换出一个同样款式的,但带有低功耗的高性能设计,而不是所有时间内都是最大性能的设计。当系统需要高性能的时候,你可以切换高性能设计。   这个规则同样适用于I/O标准,尤其是对于那些不需要长时间保持大功率的接口。由于需要比较大的DC电流去激励,不管工作与否,LVDS都是一个大功率的接口。当设计不需要高性能的时候,设计师可以运用局部可重构技术去将其I/O从LVDS转换到一个类似LVCMOS的低功耗接口,然后在系统需要高速传输的时候将其切换到LVDS的模式。   在低功耗设计的时候充分利用时序约束也是非常重要的。如果你在一个温度控制环境下操作,记住为了满足时序需要,你可以忽略这部分。要确保只限制指定的时钟频率那部分。这表明一个将要用到的,较快的时钟频率不会形成一个更好的设计。显然,因为减少资源共享、更多的逻辑/寄存器复制、更多的布线和FPGA专用特性的减少,这样的话就会用到更多的结构资源。所有的这些都能很明显的影响动态功率。   I/O功耗已经成为整体功耗的最重要一环,一些设计的I/O功耗甚至占了整体功耗的50%,尤其是在内存密集型系统。   可编程的转换速率和驱动强度降低I/O驱动的的动态功耗。有很多类似HSLVDCI的标准可以在FPGA TO FPGA通信中节省比较大的功耗。   所有Xilinx 7系列设备提供可编程的转换速率和驱动强度。Xilinx的FPGA数控电阻(DCI)技术,也是三态的。在内存从FPGA写入数据时,DCI消除端接电源,因此设备只有在读数据的时候消耗端接电源。   7系列的设备为HSTL和SSTL合并了一个用户可编程参考接收器电源模式。你在一个I/O-by-I/O基础上控制这两个可编程电源模式,这可以通过在功耗和性能中权衡帮你降低DC功耗。
  收发器功率描写   Xilinx为了高性能和低抖动优化了7系列的FPGA收发器。这些收发器提供几个低功耗的操作特点。使设计师为平衡功耗和性能自定义灵活性的操作和间隔尺寸。   在7系列的FPGA,共享锁相回路可以节省比较大的功耗。对一个具有相同行率的四路设计(如XAUI),你可以用一个四通道PLL 取代一个单通道PLL来节省功耗。同样,在某些方案里,因为一个PLL可以在高速率和低速率的范围里运行,因此最好去选择一个比较低的操作范围去节省功耗。   你同样可以使用一个单独的TX/RXPOWERDOWN设置。在低功耗模式下的PPL电源休眠是可行的。   开发周期的每一个步骤   在执行编码之前明白和实施敏感电源设计技术是降低系统功耗的一个最大有效的途径。在开发周期的相应的阶段应用不同的Xilinx工具可以帮助你满足功耗规格要求,同时在也可以硬件工程师选择必要电源的数量、型号、大小上面提供相对应的信息。Xilinx 7系列FPGA通过利用工艺技术和结构设计提供了前所没有的功耗效率。 ——电子发烧友网版权所有,转载请注明出处! & & &&&&&&&&&&
发表评论:
TA的最新馆藏[转]&需要确认注册邮箱后才能开通博客,
>>>《基于XILINX FPGA嵌入式系统设计与开发》第七章
5年会员勋章目前已领取。领取条件:&凡是注册时间五年以上的活跃用户即可领取该勋章。
phdwong的博客&&以芯筑未来
博主:&&&&
欢迎光临!Sep.24,2010
基于FPGA的算法、SOPC、MicroBlaze、ARM、PCB开发设计,欢迎交流!
知识经验共分享,能力智慧共提升~
文章(115)&&&&
访问(150741)&&&&
评论(111)&&&&
投票(88)&&&&
订阅本博&&
博文列表查看方式:
需要确认注册邮箱后才能下载,
&《基于XILINX FPGA嵌入式系统设计与开发》第七章
第七章 嵌入式软核MicroBlaze
从本章开始,我们将系统的介绍Xilinx MicroBlaze,包括MicroBlaze系统结构、LMB总线、PLB总线、AXI总线、FSL及其开发环境XPS和SDK。
7.1可编程片上系统
可编程片上系统芯片SOPC(System On a Programmable Chip)是Altera公司于2000年提出的一种灵活高效的SOC解决方案,SOPC利用可编程逻辑技术把整个电子系统集成在一个单片上,是一种特殊的嵌入式系统芯片。与可编程逻辑器件一样,SOPC的设计也仅需完成前端设计,故其设计投入比较少,设计方法灵活,SOPC的系统功能可裁减、易扩充,结合了SOC和CPLD、FPGA的优点。作为一种系统级芯片,SOPC具有低的设计成本和开发风险,从而获得广泛的应用。
7.1.1 硬核处理器
硬核处理器,如PowerPC、ARM处理器。硬核处理器的优势在于工作频率高,接口丰富。硬核处理器在可编程器件中不能进行裁剪,用户不能对其进行修改,同时也一直固化在可编程逻辑器件中。因此在选择FPGA器件时需要考虑器件对硬核处理器的支持。目前Xilinx公司支持硬核处理器的器件有Virtex-4,Virtex-5。对于ARM来说,Xilinx公司最新的Zynq-7000已经支持ARM& Cortex&-A9 MPCore。
7.1.2 软核处理器
软核处理器,如Xilinx公司的MicroBlaze、Altera公司的Nios II。软核处理器的最大优势是在于用户可行裁剪设计。软核处理器一般都是可配置的通用RISC处理器,很容易的与用户设计的逻辑相结合,集成到Xilinx/Altera FPGA器件中。
7.1.3 可编程片上系统优缺点
随着微电子工艺的迅速发展,可编程器件资源规模越来越大。在拥有大规模的逻辑资源、存储资源、DSP资源后,相比以前的规模,可编程逻辑器件可以做更多事情。将软核、硬核和用户逻辑资源进行无缝的连接,可缩短系统产品的开发周期、降低设计风险和成本。但是,对于大容量的可编程器件,必定需要更多的供电电源、IO管脚。因此,在板级设计,必须考虑信号完整性。在逻辑设计,逻辑开发人员需要注意时序约束的问题。
7.2 MicroBlaze介绍
MicroBlaze& 在基于 FPGA 的软核处理器领域中处于业界领先地位,可提供高级架构选项,如 AXI 或 PLB 接口、存储器管理单元 (MMU)、指令和数据端缓存、可配置的流水线深度和浮点单元 (FPU) 等。MicroBlaze 是Xilinx免费提供的32位 RISC哈佛架构软核处理器。它具有极其灵活的架构以及丰富的指令集,是专为嵌入式应用优化而设计的,能够以最低系统成本为开发人员提供精密的处理系统。
7.2.1 MicroBlaze系统构架
作为完整的CPU,通过Xilinx公司提供的软核开发环境,用户可以根据需求进行自定义配置,对于Xilinx XPS 13.2软件支持的软核版本为V8.2,目前最新的版本为V8.4(XPS 14.4)。了解MicroBlaze基本结构不但有助于用户了解配置,还可以在开发过程中,更深入的优化软核配置及其软件效率。对于开发者而言,选择不同的器件,得到软核的性能是不一样的。如表7-1所示,Blaze在各个器件中的性能。
表7-1 MicroBlaze性
MicroBlaze 处理器 v8.40.b 的性能级别 (v14.4 XPS)
性能优化的 MicroBlaze
添加分支优化功能
(5 级流水线)
1.38 DMIPs/MHz
性能优化的 MicroBlaze
(5 级流水线)
1.30 DMIPs/MHz
面积优化的 MicroBlaze
(3 级流水线)
1.03 DMIPS/MHz
Zynq-7000 SoC (-3)
Virtex-7 FPGA (-3)
Kintex-7 FPGA (-3)
Virtex-6 FPGA (-3)
Spartan-6 FPGA (-4)
MicroBlaze软核采用了RISC架构,以及哈佛结构的32位指令和数据总线。其结构如图7-1所示。
图7-1 MicroBlaze结构示意图
MicroBlaze结构由下述部分组成
ALU,完成大部分指令执行;
Shift,移位寄存器,执行普通的左移、右移指令;
Barrel Shift,桶形移寄存器,执行桶形移位指令;
Multiplier,乘法器,MicroBlaze提供32*32位定点乘法器单元,支持流水线操作;
Divider,除法器,MicroBlaze提供一个32*32位定点除法器单元;
Special Purpose Registers,特殊寄存器组;
Registers File,通用寄存器组,由32个32位寄存器组成,标号为R0~R31;
MicroBlaze总线接口由包括了数据总线、指令总线,支持IBM PLB总线和ARM AXI总线以及本地总线LMB。
MicroBlaze内核有如下特性与选项,
容错性,其中包括纠错码 (ECC) 和锁步支持
LMB BRAM 存储器
对内部 BRAM 和高速缓存进行校检保护
浮点单元 (FPU)
IEEE 754 兼容的
内存管理单元(MMU)
带有受 Linux 支持的虚拟存储器的全 MMU
MPU 模式,可以实现安全 RTOS 应用的区域保护
指令和数据高速缓存
高速缓存尺寸可配置:2kB - 64kB(基于块 RAM)
Microcache 尺寸可配置:64B & 1024B(基于分布式 RAM)
直接映射的直写或回写操作
Victim 高速缓存尺寸可配置:2、4或8条高速缓存线路
指令流缓冲器
分支预测逻辑
分支目标高速缓存
执行硬件加速
柱式移位器(单周期操作)
整除(32 周期操作)
乘法(单周期操作)
指令集扩展
模式比较指令
机器状态寄存器设置和清空
提供 Endian 转换支持
硬件异常支持
非对齐访问
数据总线误差
指令总线误差
中断信号-边缘或电平
通过调试支持内核实现 JTAG 控制
硬件断点多达8个
硬件监视点多达8个
7.2.2 基于MicroBlaze系统开发流程
对于MicroBlaze开发,我们主要是在Xilinx提供的EDK环境(包括XPS构建硬件平台、SDK编写软件应用程序)进行开发,对于系统级构建,可以联合ISE进行,相互嵌套。
在Xilinx MicroBlaze开发中,开发者可以自定义处理器中的硬件逻辑子系统,这种定制是不能使用标准的现场微处理器或者控制芯片。硬件平台可以灵活设计为嵌入式系统中的子模块。硬件平台是由一个或者多个处理器core通过总线和外围设备相连接,XPS软件对其硬件平台的描述文件(Microprocessor Hardware Specification, .MHS)进行跟踪。
在软件开发时,板级支持包(Board Support Package, BSP),是集软件驱动器、操作系统(可选)来建立开发者的应用程序。在创建软件之前,硬件平台导入SDK时,必须建立BSP。Xilinx 嵌入式开发流程如图7-2所示。
附件一会儿上传
下载次数:&41
下载次数:&33
打开微信“扫一扫”,打开网页后点击屏幕右上角分享按钮
1.扫描左侧二维码
2.点击右上角的分享按钮
3.选择分享给朋友
&&&&&&有[ 0 ]名读者喜欢此文
阅读(1721)&&
最近到访博主
评论是对思考最好的总结…
你还可以输入1000字
已经上传至创芯动力FPGA
--- 现有 7 个主题,共 1 页 ---
转发到我的博客
评论&&的“《基于XILINX FPGA嵌入式系统设计与开发》第七章”
《基于XILINXFPGA嵌入式系统设计与开发》第七章第七章嵌入式软核MicroBlaze从本章开始,我们将系统的介绍XilinxMicroBlaze,包括MicroBlaze系统结构、LMB总线、PLB总线、AXI总线、FSL及其开发环境XPS和SDK。7.1可编程片上系统可编程片上系统芯片SOP...
你还可以输入30000字
同时评论给&phdwong
欢迎光临Phd.Wong's Blog
以芯筑未来~~~~~~~~~~~~~~
心动、行动!~~~~~~~~~~~~
敢想、敢干!~~~~~~~~~~~~
敢想,敢做,思行合一!
yuanfj&&10:10 03-29
zcz2004&&22:14 03-28
残弈悟恩&&18:38 03-25
长电科技嫡系代理元宝电子12年&&08:20 03-22
wang_zw&&12:05 03-21
成员14名创建者:
成员18972名创建者:
成员4176名创建者:
-- Use of this website is subject to its terms of use.
京ICP备号-4 |
京公网安备37 |
新版社区已上线,旧版论坛、博客将停用
1、为防数据丢失,旧版论坛、博客不再接受发帖;
2、老用户只需重设密码,即可直接登录新平台;
3、新版博客将于8月底完美归来,敬请期待;
4、全新论坛、问答,体验升级、手机阅读更方便。您的位置:
基于Xilinx ISE平台的FPGA电路设计
基于Xilinx ISE平台的FPGA电路设计
FPGA Circuit Design Based on Xilinx ISE
发布时间:  浏览量:303  收藏数:0  评论数:
北京邮电大学体系结构学院 ;
Xilinx ISE集成综合环境是Xilinx公司的现场可编程逻辑器件数字电路开发工具集,其集成的工具可以使设计人员方便的完成CPLD/FPGA数字电路开发全过程。通过设计一个微指令控制的计算单元,描述了如何基于ISE平台使用Verilog语言进行FPGA电路设计的原理和方法。
并行处理;Xilinx ISE;Verilog HDL;FPGA
Yang Juhua*
Beijing University Of Posts and Telecommunications, Computer Architecture Center;
Abstract:
Xilinx ISE is a tool set to design FPGA digital circuit. This tool set can make FPGA/CPLD digital circuit layout facilely and fleetly. a calculate unit controlled by microinstruction is introduced to describe how to apply VHDL to designing FPGA circuit based on Xilinx.
Keywords:
Xilinx ISE;Verilog HDL;FPGA
PDF全文下载:
&&&&(199)
作者简介:
杨鞠华(1984-),女,硕士研究生,主要研究方向:计算机体系结构
通信联系人:
【收录情况】
中国科技论文在线:杨鞠华.&基于Xilinx ISE平台的FPGA电路设计[EB/OL].北京:中国科技论文在线&
[].http://www./releasepaper/content/.
发表期刊:
首发论文搜索
&> 信息科学与系统科学
&> 地球科学
&> 畜牧、兽医科学
&> 基础医学
&> 临床医学
&> 预防医学与卫生学
&> 军事医学与特种医学
&> 中医学与中药学
&> 工程与技术科学基础学科
&> 测绘科学技术
&> 材料科学
&> 矿山工程技术
&> 冶金工程技术
&> 机械工程
&> 动力与电气工程
&> 能源科学技术
&> 核科学技术
&> 电子、通信与自动控制技术
&> 计算机科学技术
&> 化学工程
&> 纺织科学技术
&> 食品科学技术
&> 土木建筑工程
&> 水利工程
&> 交通运输工程
&> 航空航天科学技术
&> 环境科学技术
&> 安全科学技术
&> 图书馆、情报与文献学
&> 体育科学
尊敬的作者,欢迎您在本站投稿:
注:请投稿作者直接在本站注册并登录提交文章,
任何个人或机构宣称代理在本站投稿均为侵权行为
本学科今日推荐
本文作者合作关系
本文相关论文
计算机科学技术基计算机科学技术计算机科学技术基计算机科学技术计算机科学技术
&&&&&&&&&&&&&&
中国科技论文在线
&|&&|&&|&&|&&|&&|&&|&文保网安备案号:
主管: 主办:
技术支持:
中国科技论文在线
微信二维码
中国科技论文在线
计算机科学技术基础学科
计算机系统结构
计算机软件
计算机工程
计算机应用
计算机科学技术其他学科
基于Xilinx ISE平台的FPGA电路设计
&&收藏本文
&&推荐本文给好友
&&订阅本文所在学科
&&分享到我的圈子
多个邮箱请用逗号“,”隔开
分享到我的圈子}

我要回帖

更多关于 xilinx fpga配置 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信