如何用DDS方法控制正弦信号的有效值频率

关于频率毕业论文,与基于DDS技术的信号发生器设计相关毕业论文开题报告
网站位置: ///写作范文资料阅读
关于频率毕业论文,与基于DDS技术的信号发生器设计相关毕业论文开题报告
这是一篇关于频率硕士学位毕业论文范文,与基于DDS技术的信号发生器设计相关毕业论文。是本科论文专业与频率及相位及参考文献方面相关的免费优秀学术论文范文资料,可作为频率方面的大学硕士与本科毕业论文开题报告范文和职称论文论文写作参考文献下载。摘 要:介绍了一种基于DDS技术的信号发生器的设计方案,给出了DDS工作原理及主要特点、信号发生器的硬件电路及软件流程.该信号发生器通过AT89C51单片机控制DDS芯片AD;生相位、频率可控的正弦信号,并配置了相应的键盘输入及显示等外部接口电路,采用汇编语言实现软件控制,电路简单,可靠性高.关 键 词:信号发生器;DDS;频率转换;正弦输出中图分类号:TP273文献标识码:A文章编号&#--信号发生器是一种常用的信号源.随着电子技术的发展,对信号源的频率稳定度、频谱纯度、频率范围提出了越来越高的要求,幅值、波形和频率可调的信号发生器广泛应用于智能仪表、外设控制等方面.该文根据实际需要,采用高性能的DDS(数字合成)芯片AD9851设计了一种信号发生器,实现了合成信号的频率转换速度与频率准确度之间的统一.1DDS工作原理和主要特点图1为典型的DDS模型构成.其中K代表频率控制字,P表示相位控制字,W表示波形控制字,fC为参考时钟频率,N表示相位累加器的字长,L表示ROM的地址位数,D表示ROM的数据位数以及D/A转换器的字长.图1DDS构成及原理DDS工作原理:相位累加器在fC的控制下以步长K做累加,输出的N位二进制码与P、W相加后,取其高L位作为波形存储器ROM的地址对波形ROM进行寻址,波形ROM寻址输出的D位幅度码S(n)经D/A转换器变成阶梯状波形S(t),再由低通滤波器滤波后,得到合成的信号波形输出.其中P和W可根据需要选择使用.输出的合成信号波形取决于波形存储器ROM中存放的幅度码,因此采用DDS技术可以产生任意信号波形,并可实现信号频率和幅值可调.由于DDS采用的是全数字频率合成结构,所以DDS技术跟许多传统信号合成方法相比具备许多独有的特点:1)频率分辨率高DDS的最小频率步进量就是它的最低输出频率,即这篇论文转载于 Δfo等于fomin等于式中:N为相位累加器的字长.可见,只要累加器有足够的字长,即可实现精密的频率分辨率,如可实现Hz甚至μHz数量级的频率步进量.2)能产生频率范围很宽的信号当频率控制字K等于1时,DDS的最低输出频率为fomin等于当相位累加器的字长N足够大时,可认为DDS的最低合成频率接近于零.在实际设计的DDS系统中,由于输出滤波器的非理想性,一般输出信号的最大频率为参考时钟频率&#;,因此DDS实际输出频率范围是0&#;fc.3)输出频率转换速度快DDS是一个开环系统,当一个新的频率控制字输入时,它会迅速合成并输出相应频率.DDS的频率转换时间即频率控制字的传输时间,也就是一个参考时钟周期:Tc等于如fc等于10MHz,转换时间即为100ns,当参考时钟频率更高(只要满足Tc大于数字门电路的延迟时间即可)时,转换时间会更短.所以可近似认为DDS的频率转换是即时的.4)频率转换时的相位连续性改变DDS系统的输出频率即改变相位累加器每次相加的相位增量.当频率控制字从K1变为K2时,它是在已有的积累相位基础上再每次累加新的相位增量,从而保持了输出相位的连续性.5)输出波形灵活在DDS内部加上调相、调频、调幅等控制方式即可方便灵活地实现相应的调相、调频、调幅功能;改变ROM存储器中的数据即可实现任意波形的输出.2信号发生器硬件设计信号发生器的硬件结构如图2所示,其中单片机控制模块和DDS模块为系统核心,用于产关于频率论文例文生信号;键盘/显示模块用于实现频率控制字的输入与频率显示功能;滤波模块用于对信号进行后期处理.图2信号发生器硬件结构单片机采用AT89C51芯片.信号发生器工作时,操作者输入十进制数的频率值,单片机将十进制数转化后送入DDS芯片,该值即为频率控制字K.DDS芯片输出端输出相应的频率,频率值通过LED显示.
基于DDS技术的信号发生器设计参考属性评定
有关论文范文主题研究:
关于频率的论文范本
大学生适用:
专科论文、研究生论文
相关参考文献下载数量:
写作解决问题:
如何怎么撰写
毕业论文开题报告:
论文模板、论文目录
职称论文适用:
核心期刊、职称评中级
所属大学生专业类别:
如何怎么撰写
论文题目推荐度:
由于信号发生器中频率控制字的计算非常复杂,有大量的中间变量需要暂存,因此需要扩展外部RAM.本信号发生器采用的片外数据存储器是8K×8位的静态随机存储器芯片6264.为了实现键盘输入、数据显示输出及控制电路,本信号发生器采用8155扩展了I/O.信号发生器核心器件为DDS芯片AD9851.AD9851由数据输入寄存器、频率/相位寄存器、6倍参考时钟倍乘器、10位模/数转换器、内部高速比较器组成.由于AD9851内置6×REFCLK倍频器,因此与AT89C51共用一个12MHz晶振即可满足设计需求. 怎么写频率本科毕业论文 播放:33055次 评论:7004人AT89C51与AD;接口采用8位并行接口方式.单片机系统扩展I/O芯片;PC0~PC2引脚经光电耦合器分别连接到AD;复位引脚(RESET)、频率更改引脚(FQ-UD)、写脉冲(W-CLK)引脚,控制AD9851工作,PB0~PB7经光电耦合器分别连接到AD;D0᢬为数据输入口传送入口指令、数据以及设置AD;工作方式.AD9851电路如图3所示.图3AD9851电路由于单片机系统的数字噪声对合成信号有很强的干扰,为此单片机系统与DDS芯片间的连接采用全光电耦合方式,以隔离单片机系统的数字噪声对DDS模块的影响,这里采用了TLP521-4光电耦合芯片.为消除共模噪声,本信号发生器设计了浮地高频放大电路.为了使DDS输出宽带理想的正弦信号,采用具有较窄过渡带特性的椭圆滤波器进行滤波处理.采用8279键盘/显示器控制器和4×4矩阵式键盘设计了键盘/显示器接口电路.由于显示部分只需简单的字符显示功能,因此采用了LED数码管,不仅降低了整个电路的成本,缩小了体积,减少了功耗,还提高了电路可靠性.3信号发生器软件设计采用汇编语言编写信号发生器软件程序,用于将键盘输入的数据进行转换,并将转换后的数值与相应的频率/相位控制字发送给AD9851,从而使AD;生相位、频率可控的正弦信号.其流程如图4所示.图4信号发生器软件流程系统上电后,单片机首先进行初始化并自检.由键盘输入信号频率、相位值,单片机进行数值转换,产生相应的频率/相位控制字送给AD9851,频率值送给LED显示,输出的阶梯波经低通滤波器滤除高次谐波后即得到所需平滑的模拟信号.4结论本文设计了一种基于DDS技术的高性能、高频率的信号发生器.该信号发生器以AT89C51单片机为控制核心,通过AD;生相位、频率可控的正弦信号,并配置相应的键盘输入及显示等外部接口电路,采用汇编语言实现软件控制,结构简单,使用方便,可靠性高.参考文献:[1]王建波.基于数字合成(DDS)技术的频率自适应RFID识读器设计[D].成都:西南交通大学,2008.[2]付莉,潘明.基于FPGA数字移相信号发生器设计[J].微计算机信息,2009(14).[3]李悦.超短波快速跳频频率合成器的研究[D].西安:西安电子科技大学,2001.君,已阅读到文档的结尾了呢~~
基于FPGA的DDS正弦信号发生器设计,正弦信号 发生器,dds信号发生器设计,基于dds信号发生器,dds fpga,正弦函数发生器,正弦信号,正弦信号傅里叶变换,正弦信号的频谱,复正弦信号
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
基于FPGA的DDS正弦信号发生器设计
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='/DocinViewer--144.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口捷配欢迎您!
微信扫一扫关注我们
当前位置:&>>&&>>&&>>&基于FPGA的DDS正弦波信号发生器的设计方案
  在工程应用和测试领域中,信号发生器有着非常广泛的应用,它可以用来为各种电路提供测试信号。众所周知,传统的信号发生器用模拟电路或者专用芯片搭建而成,但是存在着较多问题,如频率不高、稳定性较差、不易扩展和调试等;而如果采用DDS直接数字频率合成技术设计的信号发生器的话,则改变了这种状况,可以大大提高其精度和灵活性。本文通过公司的EPFl0K10LC84-4芯片,成功实现了正弦波信号发生器。
  1 系统硬件结构
  本系统由LED显示、键盘电路、FPGA单元、低通和D/A转化构成。系统总体设计结构见图1。
  1.1 LED显示
  在本系统中,通过4个LED进行动态显示。电路设计如图2所示。LED数码管动态显示的实现方法为依次点亮各个数码管,循环进行显示,利用人眼的视觉暂留特性,数码管每秒导通16次以上,可以达到4个数码管同时显示的效果。但是,延时也不是越小越好,因为数码管达到一定亮度需要一定时间。如果延时控制不好则会出现闪动,或者亮度不够。根据经验,延时0.005秒可以达到满意效果。
  1.2 键盘电路
  在本系统中,通过键盘电路改率控制字K,从而实现对正弦信号的频率、相位的初始化设置。为了节省FPGA的I/O端口,简化硬件线路,键盘电路采用4×4矩阵式健盘。键盘共设有14个键,其中包括4个功能健。具体键盘按键设置如表1。
  使用时先通过“0~9”、“↑”、“↑”12个设置按键输入所需信号频率,再按下ENTER执行健,频率控制字K将刷新,使得输出信号频率发生变化。CLR键用于将原有的设定值清零。
  1.3 FPGA单元
  FPGA单元包括键盘转换频率控制字、LED显示控制、相位累加器、ROM查询表的实现。键盘电路是一组按键的集合,FPGA扫描键盘电路的电平信号,通过FPGA中指定程序转化为频率控制字K输入到相位累加器,同时,将设置输出的频率通过4个LED数码管实时显示。
  1.4 低通滤波电路
  低通滤波器用于滤除阶梯信号中的谐波分量。本文采用2阶低通滤波电路,阶梯信号通过低通滤波,使得输出信号频谱纯度较好,失真较小。
  1.5 D/A转化
  从FPGA输出的正弦波信号还只是阶梯信号,需要经过D/A转化器进行数字/模拟信号的转化。本设计使用的是8位D/A转化芯片DAC083 2。该芯片用倒T形网络转换,为电流输出型。中有两级锁存器,第一级即输入寄存器,第二级即DAC寄存器,数据输入有直通数字输入、双缓冲输入或单缓冲输入三种工作方式。
  D/A接口电路如图3所示。
  2 DDS技术
  DDS(Direct
Synthesis)是一种应用直接数字合成技术来产生信号波形的方法。DDS技术首先对需要的信号波形进行采样、量化,然后存入作为待产生信号的数据查询表。在输出信号波形时,从数据表依次读出数据,产生数字信号。
  输入时钟频率和输出波形信号的频率关系如图4所示。在图4中To表示输出波形的周期,Tc为采样周期,2N为ROM查询表寻址范围,K为频率控制字。由图可知To=Tc×2N/K,转换为频率可表示为fo=fc×K/2N,fc为时钟信号clk的频率。
  在本设计中N=8,因此信号的输出频率fo=fc×K/256。
  3 系统软件设计
  软件设计主要通过Verilog硬件语言进行相位累加器和ROM查询表的编程实现。
  3.1 相位累加器
  相位累加器主要用于产生ROM查询表所需的地址信息。图5为在Quartus软件中编程生成的相位累加器符号,相位累加器的输入信号包括时钟信号clk、复位信号clr和频率控制字K。输出信号addr[7…0]为ROM查询表的输入地址信号。
  相位累加器类似一个计数器。首先,软件设置相位累加器的基数为0,并通过时钟信号clk上升沿触发,基数与频率控制字K相加,相加后的值反馈到相位累加器的输入端作为下一次的基数。当相位累加器的溢出时,―个完整的阶梯正弦信号就从ROM查询表的输出端输出。因此,相位累加器的溢出率就是正弦波信号的输出频率。相位累加器的仿真波形如图6所示。
  3.2 ROM查询表
  本文设计的ROM查询表寻址范围为256,输出q[7…O]需与D/A转换器的输入相连。ROM查询表的输出为8位,因此与D/A转换芯片的分辨率相匹配。
  由于此时生成的mif文件不符合Quartus中所需载入的mif格式,还需要对其进行修改。修改格式如下:
  最后通过载入此mif文件,就可获得如图8所示的ROM查询表。
  4 结语
  本文介绍的这种基于FPGA的DDS正弦波信号发生器,可以使电路结构简单、工作频率稳定度高、频率调整精度高。同时,此方法同样适用于三角波、方波等其他任意波形的实现。&&参考文献:[1].&ROM&datasheet&.[2].&DAC0832&datasheet&.[3].&mif&datasheet&.来源:
技术资料出处:薛鹏飞,师 晶 中北大学
该文章仅供学习参考使用,版权归作者所有。
因本网站内容较多,未能及时联系上的作者,请按本网站显示的方式与我们联系。
【】【】【】【】
上一篇:下一篇:
本文已有(0)篇评论
发表技术资料评论,请使用文明用语
字符数不能超过255
暂且没有评论!
12345678910
12345678910
UPS电源的测试一般包括稳态测试和动态测试两类。稳态测试是在空载、50%额定负载以及100%额定负载条件下,测试输入、输出端的各相电压、线电压、空载损耗、功率因数、效率、输出电压波形、失真度及输出电压的频率等。动态测试一般是在负载突变(一般选择负载由0-100%和由100%...[][][][][][][][][][]
IC热门型号
IC现货型号
推荐电子百科2942人阅读
理论/笔记(20)
题目:DDS的对称性质——参考时钟是100M,则产生的90MHz与10MHz的正弦信号频率相同,相位相反
DDS的原理大家都知道,在FPGA中的可以调用IP核生成,ISE中DDS的IP核界面如下图所示:
现在的说明的问题是:若产考时钟为fs,那么由DDS生成的fo与fs-fo的两个正弦信号频率相同,均为fo和fs-fo中小于fs/2的一个,而相位相反,若产生余弦信号,则完全相同。
DDS的原理是这样的,有一个频率控制字M,位长为b(通常取为32位),参考时钟为fs,则产生的信号的频率fo=M*fs/2^b .在实现在其实是一个累加的过程,内部b位的累加器每次累加M得到一个相位,然后通过正余弦表去查该相位的正余弦值是多少,然后输出。
这里有一个问题,若参考时钟为100MHz,那么要产生10MHz和90MHz的信号,会怎么样呢?
其实DDS的原理可以在matlab中描述如下:
&& UP=2^32;
&& M10=10*UP/100;
&& M90=90*UP/100;
&& n=1:50;
&& plot(sin(2*pi*M10/UP*n));plot(sin(2*pi*M90/UP*n),'r')
由上图可见,10MHz和90MHz的正弦信号的相位正好相差pi,其实这个很容易理解,观察一下两个信号的相位即可:
10MHz的正弦信号的相位是:2*pi*M10/UP*n
90MHz的正弦信号的相位是:2*pi*M90/UP*n
将两者相加等:2*pi*M10/UP*n + 2*pi*M90/UP*n = 2*pi*(M10 + M90)/UP*n
又因为&M10=10*UP/100;M90=90*UP/100; 代入上式中得:
2*pi*(10*UP/100 + 90*UP/100)/UP*n=2*pi*n
即二者的相位和为2pi的整数倍,因此若一个信号的值为sin(x),则另一个信号的值必为sin(2*pi-x)=sin(-x)
由此易知,生成的余弦信号是相同的,因为cos(x)=cos(-x)
&&相关文章推荐
* 以上用户言论只代表其个人观点,不代表CSDN网站的观点或立场
访问:1830472次
积分:14434
积分:14434
排名:第837名
原创:147篇
转载:50篇
评论:670条
(4)(8)(5)(1)(5)(2)(8)(8)(4)(1)(6)(4)(7)(8)(1)(2)(1)(5)(1)(1)(4)(7)(7)(9)(6)(1)(5)(9)(8)(11)(5)(10)(10)(8)(5)(2)(2)(1)(1)(3)(3)基于FPGA和DDS技术的正弦信号发生器设计
我的图书馆
基于FPGA和DDS技术的正弦信号发生器设计
O 引言&&& 1971年,美国学者J.Tierney等人撰写的《A Digital Frequency Synthesizer》一文首次提出了以全数字技术,从相位概念出发直接合成所需波形的一种新合成原理。限于当时的技术,没有得到重视,但随着微电子技术的迅速发展,它以有别于其他频率合成方法的优越性能和特点成为现代频率合成技术的佼佼者,具体体现在相对带宽宽,频率转换时间短,频率分辨率高,输出相位连续,可产生多种调制信号,控制灵活方便。因此,对于正弦信号发生器的设计,可以采用DDS,即直接数字频率合成方案实现。DDS的输出频率是数字可调的,完全能实现频率为1 kHz~10 MHz之间的正弦信号,这是实际应用中产生可调频率正弦信号波形较为理想的方案。实现DDS常用3种技术方案:高性能DDS单片电路的解决方案;低频正弦波DDS单片电路的解决方案;自行设计的基于FPGA芯片的解决方案。虽然有的专用DDS芯片的功能也比较多,但控制方式却是固定的,因此不一定满足用户需求。而基于FPGA则可以根据需要方便地实现各种比较复杂的调频、调相和调幅功能,具有良好的实用性。专用DDS芯片由于采用特定的集成工艺,内部数字信号抖动很小,可以输出高质量的模拟信号;利用FPGA也能输出较高质量的信号,虽然达不到专用DDS芯片的水平,但信号精度误差非常小,能满足大多数信号源要求。DDS是本系统的关键技术,在介绍本系统之前,先单独介绍DDS的原理。l DDS电路工作原理1.1 DDS的工作原理&&& DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。如图1所示,电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。频率累加器对输入信号进行累加运算,产生频率控制数据X(frequency data或相位步进量)。相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的二进制码进行累加运算,是典型的反馈电路,产生累加结果Y。幅度/相位转换电路实质上是一个波形寄存器,以供查表使用。读出的波形数据送入D/A转换器和低通滤波器转换成符合要求的模拟信号。1.2 具体工作过程&&& 信号产生过程:如图1所示,N位加法器将频率控制数据X与累加寄存器输出的累加相位数据在时钟脉冲Fclk控制下相加,把相加后的结果Y送至累加寄存器的输入端。累加寄存器一方面将在上一时钟周期作用后所产生的新的相位数据反馈到加法器的输入端,以使加法器在下一时钟的作用下继续与频率控制数据X相加;另一方面将这个值作为取样地址值送入幅度/相位转换电路(即图1中的波形存储器),幅度/相位转换电路根据这个地址输出相应的波形数据。最后经D/A转换器和低通滤波器将波形数据处理成所需要的模拟波形。相位累加器在基准时钟的作用下,进行线性相位累加,当相位累加器加满量时就会产生一次溢出,这样就完成了一个周期,这个周期也就是DDS信号的一频率周期。& DDS输出信号的频率由下式给定:&&& &&& 假定基准时钟为70 MHz,累加器为16位,则:&&& &&& 再假定X=4 096,则Fout=(4 096/65 536)×70=4.375 MHz。&&& 可见,通过设定相位累加器位数、频率控制字X和基准时钟的值,就可以产生任一频率的输出。DDS的频率分辨率定义为:&&& &&& 由于基准时钟一般固定,因此相位累加器的位数就决定了频率分辨率。如上面的例子,相位累加器为16位,那么频率分辨率就可以认为是16位。位数越多,分频率越高。2 正弦信号发生器系统结构设计及实现2.1 系统结构图&&& 正弦信号发生器系统结构图如图2所示。2.2 单元电路2.2.1 单片机控制模块实现方式&&& 单片机实现部分主要处理数据输入及数据显示,此模块以AT89S51为中心,控制键盘输入和LED显示,其中键盘输入值作为频率控制字送给FPGA处理。单片机的P1口直接与键盘连接,无键按下时为高电平,当有键按下时就变为低电平。可以设计键1为“0”值键、键2为“1”值键,满足频率控制字以二进制进行输入;键3为输入确定键;键4和键5为频率步进控制键,键4为加100 Hz键,键5为减100 Hz键,当键3按下时将输入设置的频率控制字以二进制数形式送至P2口,然后通过串行口输出并驱动LED静态显示。PO.O和PO.1及PO.2控制数据输出的先后顺序。此模块功能具体实现可通过汇编语言编程后下载到单片机调试并实现,限于篇幅,具体程序不在此展现,只展示设计思路。2.2.2 FPGA处理模块&&& (1)FPGA处理模块控制原理&&& FPGA处理模块是本系统的核心,系统结构图中FPGA模块里的DDS基本结构图如图3所示。&&& 图3中DDS工作原理为:相位累加器由32位加法器与32位累加寄存器级联构成。在时钟脉冲,fc的控制下,加法器将频率控制字M与累加寄存器输出的累加相位数据相加,把相加后的结果送到累加寄存器的数据输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位累加器在时钟作用下,不断对频率控制字进行线性相位累加。由此可以看出,相位累加器在每一个时钟输入时,把频率控制字累加一次,相位累加器输出的数据就是合成信号的相位。&&& DDS采用改变寻址的步长来改变输出信号的频率,步长即为对数字波形查表的相位增量,由累加器对相位增量进行累加,累加器的值作为查表地址,这样就可把存储在波形存储器内的波形抽样值(二进制编码)经查找表查出,完成相位到幅值转换,波形存储器的输出送到D/A转换器,D/A转换器将数字量形式的波形幅值转换成所要求合成频率的模拟量形式信号。低通滤波器用于滤除不需要的取样分量,以便输出频谱纯净的正弦波信号。(2)FPGA处理模块实现方式&&& 根据设计要求:要达到正弦波输出频率范围(1 kHz~10 MHz)及频率步进值100 Hz,设相位累加器的位宽为2N,sin表的大小为2P,累加器的高P位用于寻址Sin表。根据DDS工作原理,主频时钟Clock的频率为,fc=100 MHz,累加器按步进为1进行累加直至溢出一遍的频率即为频率步进值。&&& &&& 以M点为步长(M为频率控制字),产生信号的频率:&&& &&& 由于频率步进值为100 Hz,由式(1)可计算得N=20。要使输出频率达到10 MHz,由式(2)可计算得M=104 857,为了使输出的波形尽可能不失真,频率控制字位宽取17位,高三位添000,由于设计加法器为32位,则低12位添。本系统中使用的D/A转换器件的输入位宽为10,所以只取相位累加器输出的高10位可满足设计要求。整个过程的实现过程中,本系统选用Altera公司的Cyclone系列芯片,采用VHDL语言描述,利用开发软件QuartusⅡ进行综合,以实现产生l kHz~10 MHz频率范围内的各种正弦信号。3 实验数据测试&&& 由键盘输入的是二进制频率控制字,通过数码管可以显示出十进制的频率和相位,将信号发生器的输出端和双通道数字示波器接好,任意几个频率为1 kHz~10 MHz之间的信号测试结果如表1所示。4 结语&&& 本系统设计时,相位字是在编程时就固定的,输出正弦信号的频率变化是由频率字变化引起的,而频率字的预置是通过键盘输入的,因此能够得到频率变化的正弦信号,这样的信号源能够很好地满足需要变频信号的情况,因此,实用性较强。通过理论计算和实际测量相比较可以看出,基于FPGA的DDS技术实现正弦信号发生器输出正弦信号频率范围较宽、分辨率高、幅度和频率的精度较高。另外,本系统还很容易扩展,不需要对硬件电路进行较大的修改,只需要修改相应的程序便可实现相应的功能,比如产生PSK,ASK信号等。但是它也有局限性,主要表现在输出杂散大,这是由于DDS采用全数字结构,不可避免地引入杂散,主要来源有三个:相位累加器相位舍位误差造成的杂散;幅度量化误差造成的杂散和DAC非线性造成的杂散。
馆藏&79532
TA的最新馆藏
喜欢该文的人也喜欢}

我要回帖

更多关于 正弦信号的有效值 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信