用VHDL设计一个数控vhdl任意分频器器电路,要求...

网友教你设计FPGA的通用数控分频器_分频器_中国百科网
网友教你设计FPGA的通用数控分频器
    本文首先介绍了各种分频器的实现原理,并在FPGA开发平台上通过VHDL文本输入和原理图输入相结合的方式,编程给出了仿真结果。最后通过对各种分频的分析,利用层次化设计思想,综合设计出了一种基于FPGA的通用数控分频器,通过对可控端口的调节就能够实现不同倍数及占空比的分频器。
分频器是数字系统中非常重要的模块之一,被广泛应用于各种控制电路中。在实际中,设计人员往往需要将一个标准的频率源通过分频技术以满足不同的需求。常见的分频形式主要有:偶数分频、奇数分频、半整数分频、小数分频、分数分频。在某些严格的情况下,还有占空比的要求。其中非等占空比的偶数分频器和奇数分频器其实现比较容易,但对于半整数分频器和占空比为50%的奇数分频器实现比较困难。
本文首先介绍了各种分频器的实现原理,并结合VHDL硬件描述语言对其进行了仿真,最后提出一个可控的通用分频器的设计方法,该方法可实现任意分频,资源消耗低,具有可编程等优点。
2.偶数分频器
偶数分频器比较简单,即利用计数器对需要分频的原始时钟信号进行计数翻转。
例如:要进行M=2N(N为自然数)分频,当计数值为0~k-1时,输出高电平,当计数值为k-1~2N-1时输出低电平,同时计数值复位,如此循环可实现任意占空比的偶数分频,其中M和k为预置数,可根据分频倍数和占空比的要求进行置数。如图1所示,当k=N时,即可实现占空比为50%的偶数分频。
图1 占空比为50%的4分频仿真结果
3.奇数分频器
任意占空比的奇数分频器的实现,其原理与偶数分频器类似。但对于占空比为50%的任意奇数次分频却无法用上述相同的方法实现。
下面介绍一种常用的实现方法。
实现原理:采用两个不同的边沿触发器(一个在上升沿和一个在下降沿)来实现,其细节在于实现1/2个原始时钟周期的时间差。
如图2所示,进行M=2N+1分频时,k1是在clk上升沿且计数周期为M变化的信号。当计数器值为0~N时,k1保持低电平,计数值为N+1~2N时,k1保持高电平。
图2 占空比为50%的5分频仿真结果
k2与k1一样,唯一不同的是:k2是在clk的下降沿变化。最后将k2与k1进行或运算即可得到占空比50%的任意奇数分频器。
4.半整数分频器
半整数分频器原理如图3所示[3]。主要包括模M计数器,异或模块和2分频模块三个部分。其设计思想是:通过异或门和2分频模块组成一个改变输入频率的脉冲添加电路,即在M-0.5个输入信号周期内产生M个计数脉冲,并将其中的一个计数脉冲的周期变为含有两个脉冲的周期。而这种改变的具体实现是将原始时钟信号与2分频模块的输出进行异或。
图3 半整数分频器原理
另外,不难发现此原理图还可以实现占空比为50%的2M-1倍的奇数分频。当M=3时,其仿真结果如图4所示。原理图的输出端口q即为占空比为50%的5分频,输出端口C为2.5分频。
图4 半整数分频器仿真结果
5.数控通用分频器的实现
综上,利用模N计数器、脉冲添加电路,以及控制模块即可实现占空比可调的通用分频器。在具体设计过程中可采用层次化的设计方法。首先,设计通用分频器中各组成电路元件,然后通过元件例化的方法,调用各元件,实现通用分频器。
图5 通用分频器原理图
模N计数器的实现可以采用两种方式:
一是调用L P M库中的参数化的计数器模块LPM_COUNTER,根据向导对参数进行设置,QuartusII会生成相应的。vdh计数文本。为了能够调用计数文本,最后还需要利用VHDL语言对该计数文本设计一个例化程序,并将其设置为顶层文件。二是利用VHDL描述语言实现。
二分模块是利用D触发器来实现的,即将D触发器的输出信号Q反馈回来作为输入信号,将模N计数器输出信号的最高位作为D触发器的时钟信号。
其顶层原理图如图5所示。其中a为分频模式选择,当a=00时进行偶数分频;当a=01时进行占空比为非50%奇数分频;当a=10时进行占空比为50%的奇数分屏;当a=11时进行半整数分频[2]。端口M的作用是控制分频数。端口K的作用是进行偶数(M=2N)和奇数(M=2N+1)分频时,调节占空比,当k=N时,占空比为50%.
本设计的最终目的是实现分频器的通用性和实用性,本设计中控制端口M、K、以及计数器N的位宽均采用参数化设计思想,用户根据需要对其稍加修改就可满足不同的分频需求,在一定程度上实现了通用性。
收录时间:日 16:01:08 来源:电子元件技术网 作者:匿名
上一篇: &(&&)
创建分享人
喜欢此文章的还喜欢
Copyright by ;All rights reserved. 联系:QQ:基于VHDL的数控分频器设计及应用--《现代电子技术》2006年13期
基于VHDL的数控分频器设计及应用
【摘要】:数控分频器的输出信号频率为输入数据的函数。用传统的方法设计,其设计过程和电路都比较复杂,且设计成果的可修改性和可移植性都较差。基于VHDL的数控分频器设计,整个过程简单、快捷,极易修改,可移植性强。他可利用并行预置数的加法计数器和减法计数器实现。广泛应用于电子仪器、乐器等数字电子系统中。
【作者单位】:
【关键词】:
【分类号】:TN772【正文快照】:
1引言数控分频器的功能是在输入端输入不同数据时,对输入时钟产生不同的分频比,使输出信号的频率为输入数据的函数。他可广泛应用于数字电子系统中。用传统的设计方法设计数控分频器时,采用具有预置数据输入端的通用计数器芯片,按设计要求完成设计,其设计过程和电路都比较复杂
欢迎:、、)
支持CAJ、PDF文件格式,仅支持PDF格式
【引证文献】
中国硕士学位论文全文数据库
滕舟波;[D];兰州理工大学;2007年
【参考文献】
中国期刊全文数据库
韩波;唐广;;[J];国外电子元器件;2006年01期
王彦,方艾,张清明;[J];机械与电子;2004年06期
【共引文献】
中国期刊全文数据库
,陈利学;[J];电子技术应用;2005年01期
卢勇威;;[J];广西轻工业;2006年06期
陶玉鸿;[J];江苏广播电视大学学报;2005年03期
陈玉琼;杨冠鲁;;[J];黎明职业大学学报;2006年02期
张梦梨;韩国松;;[J];商丘职业技术学院学报;2006年02期
王艳,李秀华;[J];微计算机信息;2005年13期
张俊涛;陈晓莉;;[J];微计算机信息;2007年14期
程耀林;[J];现代电子技术;2005年19期
郭海青;;[J];现代电子技术;2006年17期
董国伟;李秋明;赵强;顾德英;汪晋宽;;[J];仪器仪表学报;2006年S1期
中国硕士学位论文全文数据库
王杰;[D];中国人民解放军信息工程大学;2005年
王磊;[D];吉林大学;2006年
江中亚;[D];天津大学;2006年
胡轶;[D];东华大学;2007年
郭昕明;[D];国防科学技术大学;2006年
李文亮;[D];北京邮电大学;2007年
江蓉;[D];暨南大学;2007年
孙宝华;[D];中国海洋大学;2007年
鲁峰;[D];太原理工大学;2007年
范炳远;[D];东华大学;2008年
【同被引文献】
中国期刊全文数据库
晏磊;;[J];微计算机信息;2006年02期
童怀,王宗培;[J];电工技术学报;1995年02期
陈敏祥;[J];电工技术学报;1998年06期
王宗培,王英,陈敏祥;[J];电工技术杂志;1994年06期
王宗培,赵书韬,任雷,朱东起;[J];电工技术杂志;1998年03期
王宗培,赵书韬,任雷,朱东起;[J];电工技术杂志;1998年04期
陈敏祥;[J];电机与控制学报;1998年01期
张永,李存华;[J];电力电子技术;2003年03期
,王帆;[J];电气传动;2002年03期
周冬成;王永斌;郑亚平;;[J];电子测量技术;2006年03期
中国硕士学位论文全文数据库
赵敏;[D];华北工学院;2002年
吴廷忠;[D];浙江大学;2004年
朱显新;[D];武汉理工大学;2005年
孟凯;[D];兰州理工大学;2005年
【二级参考文献】
中国期刊全文数据库
窦建华,钱立旺,王志功,梁帮立;[J];电气电子教学学报;2004年01期
【相似文献】
中国重要会议论文全文数据库
周瑗;杨丽华;;[A];2004年电子技术学术研讨会论文集[C];2004年
蒋贤哲;王莉;;[A];中国自动化学会、中国仪器仪表学会2004年西南三省一市自动化与仪器仪表学术年会论文集[C];2004年
中国硕士学位论文全文数据库
叶江峰;[D];中国工程物理研究院北京研究生部;2000年
马海燕;[D];合肥工业大学;2003年
&快捷付款方式
&订购知网充值卡
400-819-9993
《中国学术期刊(光盘版)》电子杂志社有限公司
同方知网数字出版技术股份有限公司
地址:北京清华大学 84-48信箱 大众知识服务
出版物经营许可证 新出发京批字第直0595号
订购热线:400-819-82499
服务热线:010--
在线咨询:
传真:010-
京公网安备75号数控分频器的设计实验报告_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
数控分频器的设计实验报告
上传于||文档简介
阅读已结束,如果下载本文需要使用1下载券
想免费下载本文?
定制HR最喜欢的简历
下载文档到电脑,查找使用更方便
还剩9页未读,继续阅读
定制HR最喜欢的简历
你可能喜欢关于EDA:怎样用VHDL语言设计占空比为0.5的分频器?_美容美发_英汉互译
关于EDA:怎样用VHDL语言设计占空比为0.5的分频器?
来源:|人气:595 ℃|类别:|时间: 22:22:27
问题:关于EDA:怎样用VHDL语言设计占空比为0.5的分频器?
怎样用VHDL语言设计占空比为0.5的分频器?
(输入频率:100KHZ,输出频率:1HZ)

注:这是用MAXPLUS2设计数字密码锁控制电路的底层文件,需要用VHDL语言实现分频功能,然后生成元件,连线后作为报警信号电路的一部分!用一个计50000的计数器,当计数器为0的时候,计数器为50000,输出反向。
那么输出就是1Hz无知的天才
以及控制模块即可实现占空比可调的通 用分频器 这是一个数控分频器占空比可调的 分频器 的 设计 eda
本文通过利用数控 分频器设计 硬件乐曲演奏电路利用vhdl语言进行可变速彩灯控制器的 设计
||||点击排行分频器设计报告_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
分频器设计报告
上传于||暂无简介
阅读已结束,如果下载本文需要使用0下载券
想免费下载更多文档?
定制HR最喜欢的简历
下载文档到电脑,查找使用更方便
还剩2页未读,继续阅读
定制HR最喜欢的简历
你可能喜欢}

我要回帖

更多关于 vhdl任意分频器 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信